約 4,753,412 件
https://w.atwiki.jp/rozenrock/pages/949.html
Story ID KEIqfuZQ0 氏(213th take) 銀「その点真紅は不安ねぇ…( ̄ー+ ̄)ニヤリ」 紅「(ギクッ)そうね…」 金「薔薇水晶いるかしr…水銀燈」 銀「金を落とした韓国人が一匹…ジャンクにしてあげるわぁ…!」 紅「(ナイス人柱、GJ!!!!)それじゃこれで…」 雛「あっ!!真紅それ50本限定くんくんギターなの!!!凄まじいなの~!!!!(いろんな意味で…ぺっ)」 翠蒼「(雛苺…恐ろしい娘ですぅ(だね」 薔「アッガイギター…シューマイギター…」 短編連作SS保管庫へ
https://w.atwiki.jp/hogazurou/pages/89.html
CPLD Xilinx FPGA、CPLDの製造大手企業 WebPack xilinxのIEDです。個人的にはこのソフトの使い勝手はquatusにおとると 思っています。 WebPackの使い方 使った基板 起動 こんな画面。 File- New Projectを選ぶ。 画面がないところは全部nextでOK この画面に出会ったらこんな感じに入力。 上のほうは、使っているCPLDを選ぶ。 下は同じでOK。VHDLを選びます。 finish 右クリックでNew Sourceを選ぶ。 ファイル名を入れて VHDL Moduleを選ぶ。 finish 選択部分にしたのプログラムをコピーしてください。 テストプログラムを書いてみる。 entity hello is port( clk in std_logic; led_out out std_logic); attribute pin_assign string; attribute pin_assign of clk signal is "5"; attribute pin_assign of led_out signal is "3"; end hello; architecture Behavioral of hello is signal counter std_logic_vector(20 downto 0); begin process(clk) begin if clk event and clk= 1 then counter =counter+1; end if; end process; led_out =counter(20); end Behavioral; このプログラムは、ledを点滅させるプログラムになっている。使用している 発信子が8MHzでLEDは約250msで点滅する。 プログラムの説明 entity hello is entity ソースファイル名 is で port( clk in std_logic; クロックの入力 led_out out std_logic); ledをちかちかさせるピン attribute pin_assign string; attribute pin_assign of clk signal is "5"; 使用ピンの指定 attribute pin_assign of led_out signal is "3"; end hello; entity ソースファイル名 is と end ソースファイル名で囲んだなかに 使用するピンとその型を指定する。 またそれには port();内に 変数名 in or out 型;とい書き方をする。 たとえば clk in std_logic;の場合 clkという変数は外部からの入力がstd_logicという型で入る。 led_ou out std_logic;の場合 led_outという変数は内部で指定したものをstd_logicという型で出力する。 attribute pin assign string;に続いて attribute pin_assign of 変数名 signal is "使用ピン"; で変数が使う使用ピンを指定することができる。 ソフトの中にGUIでpin指定できるGUIが入っていると思われるが 私が見つけたGUIは非常に使いにくかったので(たぶんもっと使いやすいのが どこかにあるはずだが)プログラムで指定してしまうことにした。 architecture Behavioral of ソースファイル名 is と end Behavioral;で囲まれた部分に動かしたい動作を書く。 signal counter std_logic_vector(20 downto 0);は、外部との接触を 持たない変数でportの中身と基本的に同じである。 std_logic_vectorはstd_logicの配列と同じ働きをする。 変数を作り終わったらbeginを書くその下に実際の動きが入ってくる。 process(clk)は時間別に処理したいときに書く。これを書かない場合は、 同じ時間に処理される。(並列処理)process()括弧の中身は、portで指定した もので内部で使うものを書く。 if文はクロックが動いてhighになったら処理をすると言うもの。 個人的にここはQuartusに勝っていると思う。 プログラムのコンパイルが終わるとこの画面になる。 マクロ、レジスタ、ピンなどの使用率が表示される。 また右のpic listをクリックするとどのピン配置が表示され プログラム中でのPIN配置とハード側のピン配置の確認ができる。 右下のImportant Designを開き、図と同じところを選ぶ。 右クリックしてRUNする。 これでプログラムに文法的なエラーがないか確認する。全体をコンパイルすると 時間がかかるため、この操作を入れておくと作業時間が短縮できる。 OKだったら次はFITで同じ作業でRUNする。このそふとでは、コンパイルではなく フィットというらしい。これに成功すると、こんな画面が出る。 いろいろ見れるので見てみる。PIN配置とか使用率とかみれるから確認する。 再び右下から図のところでRUNする。なにか確認ダイアログが出るのでYESを選ぶ とこんなのが出る。ので、ハードをつないでから、下と同じところを選んでください。 つないでいるCPLDの名前が画面に出たら接続成功です。 さっき選んだところのすぐ下にある、Programを選ぶと書き込み完了です。 戻る
https://w.atwiki.jp/vipdentg/pages/116.html
有用な情報あったらメモしていけ 自分のIPアドレスを確認できるサイト↓ ポートが開いてるかを確認できるサイト↓ http //www.cman.jp/network/support/go_access.cgi ポート開けるとか意味不明 ポートを開けるのはいわば自分のパソコンに外部と連絡するドアの鍵をあけること。 デフォでは全てのドアには鍵が閉まってて通信できない。 だからドアの鍵をあけてやらないとダメなわけ。分かるだろアホ 開け方教えろ IP固定してルータで設定してFW貫通させて終わり 詳しくはググれアホ ポートが開けない ファイアウォールソフトを変えてみる 日本語で使用可能なフリーのファイアウォールソフトは以下の二つ PCTools firewall アプリケーション→ポート使ってるゲームのソフトの項→インを「すべて許可する」に 自己責任でやれよ COMODO firewall 知らん 専用wikiとかあるしそっち見ろ ツールUPnPCJを使う ファイアウォールソフトを切る 最終手段 そもそもポートが開けない場合がある。 アパート・マンション等に住んでいるなら管理会社とかに聞くとわかる
https://w.atwiki.jp/monosepia2/pages/35.html
いろいろブログ&サイト【TOP】 ■ 英語研究 日本人と英語の問題を考える会(J E Group) グローバル時代にあって、日本人の英語力が問題になっています。英語については、これまで主にスキルとしてとらえられてきましたが、言語の習得はスキルを超えた、文化的なもの、環境的な要素が重要と考えます。メンバーがそれぞれ思うところと語るブログサイトです。 ■ 世界の動きを英語で追う 世界と日本の最新のできごとを、英語のキーワードを軸にして取り上げます。 ■ Le Cabotin ル・キャボタン フランス語ニュースの書き取り .
https://w.atwiki.jp/hogazurou/pages/140.html
スピーカー 部屋にスピーカーが欲しくなったので試作中。 試作なので安く作ろうということで、 ユニット ¥100 アンプ ¥100 その他の電子部品 ¥100 ボックス プライスレス 合計 ¥300 で作った。 試作過程 上記部品を使っていろいろと試行錯誤した結果こうなった。 箱の中には、アンプとティッシュ(防音用)が入っている。 最終的には、そこら辺に2000円くらいで売っている小さいスピーカー と同じような音質になったと勝手に思っている。
https://w.atwiki.jp/izakayakime/pages/205.html
☆★☆ 色々な板のリスト ☆★☆ 萃磨選堆 緋想天板 居酒屋発祥の地。緋想天がやりたい方はこちら 萃磨選堆 非想天則板 萃磨選堆の非想天則の攻略掲示板。キャラスレがある 非想天則 ネット対戦板 ランクスレや無差別、具体などがある。ここにも居酒屋の店舗(通称:支店)が存在する 管理人の事情により一時的に凍結していたが、2011.05.11に復活した 非想天則 対戦避難所 ランクスレ等のネット対戦スレの避難所はこちら 居酒屋支店 避難所 ネット対戦板一次凍結に伴い、支店用の避難所が出来ました 居民専用対戦板 ここです。フリプスレや汎用スレがある。フリプたんもいる。
https://w.atwiki.jp/strawberrypie/pages/18.html
・地方自治法により現金出納権限がないとされる地方公共団体の長が、当該団体の名で他人から金銭を借り入れ受領した場合、民法110条の権限外の行為の表見代理の類推適用が認められる ・統制法規は強行法規と解されるので、これに反してなされた私法上の法律行為は無効となる ・公営住宅の使用関係に、民法および借家法の適用はあり、当該公営住宅の使用者の無断増築には、授業主体との間の信頼関係を破壊するとは認めがたい特段の事情があるとはいえない ・農地買収処分に民法177条は適用されず、本件農地買収処分は無効である。…自作農創設特別措置法においては、登記簿上の所有者でなく、真実の農地の所有者からこれを買収すべき。 ・租税滞納処分に民法177条の適用があり、本件公売処分は無効 ・地方議会の議員の報酬請求権は譲渡可能 ・生活保護法に基づく保護受給権は、相続の対象とならない ・公共用財産についても、黙示的に公用が廃止された場合には取得時効が成立する。 ・私企業の労働者の不法行為によって国に損害が生じた場合には、国は不法行為に基づく損害賠償請求権を取得するが、この債権は、国の会計事務の画一的処理の必要と、公平の理念に基づく、会計法第31条の事項の利益の放棄を許さないとの規定の適用を受けない。 ・道路法に定める道路を開設するには、原則として、まず路線の指定又は認定があり、道路管理者において道路の区域を決定し、その敷地等の上に所有権等の権限を取得し、必要な工事を行って道路としての形体を整え、さらに、その供用開始する手続に及ぶことを必要とするものであるが、道路敷地用土地の所有権の移転については民法177条が適用されるから、国は、当該土地の所有権の取得を、後に登記を備えた第三者に対抗することはできない ・庁舎等における広告物等の掲示の許可は、使用貸借のような権利設定行為とは異なり、また、目的外使用の許可とも異なるため、管理者は、庁舎等の維持管理または秩序維持上の必要または理由がある場合に、許可の撤退を肯定する。 ・建築基準法に基づく建築確認においては、民法234条への適合性は審査対象に含まれない ・「法律による行政」の原理による「法律」とは議会の定立した法という意味である ・侵害留保の原則は、立憲君主制下で臣民の自由と財産を君主から守るための原理であり、自由主義の思想を背景とした。 ・相手方の抵抗を排除して実力を行使するような行政調査については、侵害留保説によっても権力留保説によっても法律の根拠が必要とされるが、相手方の任意の協力を待って行われる行政調査については、侵害留保説、権力留保説を問わず法律の根拠が不要である ・大学の学生に対する懲戒処分の発動の有無及びその内容の選択について、それがまったく事実上の根拠に基づかないと認められる場合、または、社会観念上著しく妥当を欠き懲戒権者に任された裁量権の範囲を超えるものと認められる場合には、司法審査は排除されない。 ・法律による行政の原理・租税法律主義が貫かれるべき租税関係においては、特別の事情がある場合に限り、信義則が適用される ・租税法律主義は平等原則により制限されうる ・一般に、一定の法律効果の発生を目的とする行政庁の行為につき、法律がその要件、手続及び形式を具体的に定めている場合には、同様の効果を生ぜしめるためにそれ以外の方法によることは原則として認められない趣旨であると解するのが相当である。したがって、法律に根拠を持たず、専ら実際上の便宜のために打ち出された事実上の措置にすぎない行為に、法律上の効果を認めることはできない。 ・課税処分が後発的な貸し倒れにより、遡って当然に違法・無効となるものではないが、その貸し倒れによって課税の前提が失われるにもかかわらず、なお徴収権を行使し、あるいは既に徴収した税額を保有することは所得税の本質に反する。 ・国家行政組織法によれば、各省の課および室の設置は政令で定めるとされている。 ・代理機関は、行政庁の名と責任において権限を行使する ・権限の委任の場合には、権限の代理の場合と異なり、当該権限が委任機関から受任機関に移ることになる。この場合、受任機関は自己の名と責任において権限を行使する ・権限の委任がなされると、原則として指揮監督も行えないことになる。もっとも、補助機関、下級行政機関に対して委任がなされた場合は、行政庁、上級機関のこれらに対する指揮監督権の行使として、権限の行使を指揮監督しうる。 ・専決は、対外的には受託者の名で行うことになる ・対等な官庁はそれぞれ独立に権限を行使するが、行政の統合性の確保の観点から、対等官庁間に権限について争いが生じたときには、上級官庁がこれを決定する。 ・告示を発することができるのは、各省大臣、各委員会、各庁の長官である。行政委員会の長は、告示を発することができない ・行政立法は、私人の権利義務に関するか内部規律に止まるかで法規命令と行政規則に分けられる。法律の根拠は前者には必要であるが、後者には不要と解されている。 ・法規命令と部分的に抵触する法律が新たに制定された場合、法規命令は抵触する部分のみ効力を失う ・法規命令のうち、執行命令は、上級の法令を執行するために発せられる命令であるから、当該上級の法令が廃止された場合には、その執行命令の効力も失われる ・通達に対する取消し訴訟は許されない ・国が同一の行政目的を実現するため一定の条件に該当する複数の者に対し、行政指導をしようとしたときに、これらの行政指導に共通してその内容となるべき事項を定めた要綱等は、行政上特別の師匠のない限り、公表しなければならない ・行政行為とは、当事者間の合意によらず、法令に基づく行政庁の一方的行為によって法律関係を具体的に規律し、法律効果が生じるものである。違法建築物の除去命令や税務署長がなす税債務の確定のための決定または更正がその例である ・行政行為は、外部に対して直接の法的効果を生ずる行為でなくてはならず、特殊法人である鉄道建設公団が作成した新幹線建設工事計画に対する運輸大臣の認可は、上級長の下級長に対する監督行為と同視され、行政行為にあたらない ・関税定率法に基づいて税関長が行う輸入禁制品該当の通知には処分性が認められ、取消し訴訟が可能である ・行政行為を基礎付ける法規の一般性の裏返しとして、行政行為は個別的行為である必要はなく、一般処分も行政行為にあたることがある ・行政行為の効力は、相手方に伝達され、相手方がこれを了知し、または了知しうべき状態におかれた時に発生する ・判例によれば、行政庁の処分を外部に表示する行為が行政庁の内部的意思決定と相違している場合であっても、表示行為が正当の権限のある者によってなされたものである限り、表示されているとおりの処分があったものと認めなければならない ・刑事訴訟手続には、取消し訴訟の排他的管轄が及ばない ・違法な買収計画に基づき、買収処分が行われたら、かかる買収処分が違法であることはいうまでもなく、当事者は買収処分取消しの訴えにおいて、買収計画の違法を攻撃しうる ・原子炉設置の許可があった後で、許可の取消しではなく、人格権侵害に基づく民事上の差止めを求めるときは設置許可の公定力に反しない。 ・裁決を裁決庁自らが取り消すことはできない ・異議の決定、訴願の裁決後に、行政庁はこれを取り消し、または変更することはできない。 ・農地所有者が再び裁定申請をすることはできない ・営業許可は、一般にこう学上の許可にあたる。よって、無許可営業による取引は私法上無効とならない ・自動車免許は講学上の特許にあたり自由裁量が認められるが、諮問機関の審理、決定の過程に重大な法規違反があるときには、違法となりうる。 ・条件は行政行為の効力に影響するのに対し、負担は行政行為の効力に直接関係しないので、義務履行確保を重視すれば前者、相手方の権利保護を重視すれば後者と解すべきことになる ・行政行為の附款は行政行為の効果を制限するために行政庁の主たる意思表示に付加される従たる意思表示をいい、意思表示ではない確認、公証行為等の準法律的行政行為には附款を付することはできない ・行政行為の効果の制限が直接法規によって定まっている場合を法定附款という。たとえば、鉱業許可の効果が登録を法定条件としていること等である。 ・都市計画法施行令12条は「必要なる条件を付することを得」としており、附款を付することができる。無償で撤去を命じる条件も公共の福祉のための制限として許される。したがって、建築許可に無償撤去条項をふしうる。 ・法律効果の一部除外とは、主たる意思表示に付加して、法令が一般にその行為に付した効果の一部の発生を除外する意思表示のことである。特許法の特許は講学上の確認にあたり意思表示を要素としない法律行為的行政行為であるから、附款は付し得ない ・負担に対する違反は行政行為の効力に直接関係するものではなく、この点で期限・条件と異なる。負担義務違反は、行政行為の撤回事由となったり、行政庁が行政上の強制執行を行うことができるにとどまる ・宅地建物取引業法に基づく宅地建物取引業者に対する不利益処分は、その営業継続を不可能にする自体を招き、既存の取引関係者の利害にも影響するところが大きいところ、業務の停止に関する知事等の権限がその裁量により行使されるべきことは規定上明らかであり、停止事由に該当し情状が特に重いときになされる免許の取消しについてもその要件の認定に裁量の余地があり、これらの処分の選択、その権限の行使の時期等は、知事等の専門的判断に基づく合理的裁量にゆだねられている ・道路管理者が行う特殊な車両の認定は、基本的には裁量の余地がないとしつつ、認定に条件を付すことができること、制度の効用が許可制とほとんど変わらないことから、裁量を認めた。 ・きそく裁量を誤る行為は違法行為となるが、自由裁量を誤る行為は不当行為にすぎない ・劇物用途の危険性を輸入行の登録拒否自由とすることは法の趣旨にはんする ・清掃法に基づいて市町村長が行う特別清掃地域内における汚物取り扱い業の許可は、市町村長がその責務である汚物処理の事務を遂行するために、同法の目的である生活環境の保全と公衆衛生上の危害の防止の観点から定めた技術上の基準に基づき決すべきものであり、基本的には裁量の余地のないものであるが、当該市町村長の清掃計画との調整のために一定の合理的裁量が認められる ・始期および停止条件は附款にあたる。附款は、法律行為的行政行為のうち、法律が許容している場合にふすことができる ・在留期間の更新については、法務大臣の裁量に任されているが、その判断が全く事実の基礎を欠き又は社会通念上著しく妥当性を欠くことがあきらかである場合に限り、裁量権の範囲をこえまたはその濫用があったものとして違法となるものというべきである。 ・輸出貿易管理令1条6項の趣旨とするところは、国際収支の均衡の維持ならびに外国貿易および国民経済の健全な発展を図るため必要と認められる場合に限り、通商産業大臣においてこれを制限することができる。経済外的理由による輸出制限は、どう条項の趣旨でないから、裁量権の範囲を逸脱し、違法。 ・行政庁の裁量に任されている場合でも、行政庁は何等いわれがなく特定の個人を差別的に取り扱いこれに不利益を及ぼす自由を有するものではなく、この意味においては、行政庁の裁量には一定の限界があるものと解すべきである。 ・公務員の懲戒処分は、懲戒権者の裁量に任されている。したがって、社会観念上著しく妥当を欠き、裁量権行使を乱用したと認められる場合に限り違法である。 ・本来最も重視すべき諸要素、諸価値を不当、安易に軽視し、その結果当然尽くすべき考慮を尽くさず、また本来考慮に容れるべきでない事項を考慮に容れもしくは本来過大に評価すべきでない事項を加重に評価し、これらのことにより同控訴人の判断は裁量判断に誤りがあり異邦である。(日光太郎杉) ・地方公務員法に基づく分限処分は任命権者の純然たる自由裁量にゆだねられているものではなく、処分理由の有無につき考慮すべき事項を考慮せず、考慮すべきでない事項を考慮して判断された場合には、裁量権の行使を誤ったものとして違法である ・原子炉施設の安全性に関する判断の適否が争われる原子炉設置許可処分の取り消し訴訟における裁判所の審理、判断は行政庁の判断に不合理な点があるか否かという観点から行われるが、審議の基準たる具体的審査基準に不合理な点があり、あるいは判断過程に看過しがたい過誤、欠落があったときには違法となる ・もんじゅ事件差戻審。本件許可にかかる安全審査の調査審議および判断の過程には看過しがたい過誤、欠落があると認められ、その結果、本件許可処分は無効というべき。 ・もんじゅ上告審は、安全審査の調査審議および判断の過程には看過しがたい過誤、欠落はないとした。 ・権限の不行使であっても、著しく不合理な不行使であれば、裁量権の逸脱・乱用が認められる余地がある。 ・処分の内容の過誤が課税要件の根幹にあって、徴税行政の安定とその円滑な運営の要請を斟酌してもなお、不服申し立て機関のとかによる不可争力による不利益を被課税者に負わせることが著しく不当と認められるような例外的な事情のある場合には、当該処分を無効ならしめるものと解するのが相当 ・敷地所有者の承諾を欠く道路位置廃止処分は、特別な場合を除き、当然無効の原因とはならない ・国家機関の公法的行為はそれが当該国家機関の権限に属する処分としての外観的形式を具有する限り、仮にその処分に関し違法の点があったとしても、その違法が重大かつ明白である場合の外は、これを法律上当然無効となすべきではない ・正当に組織されない合議機関の行為(定足数を欠くなど)は原則無効 ・利害関係をもつ委員が議事に参加した農地買収計画は、ほかに著しく決議の公正を害する特段の事由の認められない本件では、有効である。 ・更正における付記理由不備の瑕疵は、後日これに対する審査裁決において処分の具体的根拠が明らかにされたとしても、それにより治癒されるものではない ・訴願棄却の裁決がなされる前に承認その他の買収手続きを進行させたという瑕疵は、その後訴願棄却の裁決がなされたことによって治癒されたと解すべきである。 ・自作農創設特別措置法施行令43条によると違法である処分を45条で適法とできる 取り消し原因のある行政行為の相手方が取り消し訴訟の出訴期間を経過した場合であっても、当該行政行為を行った行政庁は、当該行政行為を職権で取り消すことができなくなるわけでない。 ・行政庁の職権取り消しにより、その効果は遡及的に無効となるので、取り消し行為を取り消すことはできない ・撤回は、処分行政庁のみがその権限を有する。取り消しは上級行政庁もなしうる ・損失補償は、職権取り消しでは不要だが撤回では必要。 ・使用許可の取り消しに対しては、国有財産法を類推適用して補償を求めうる。使用権自体に対する補償は不要。 ・消防法に基づく建物使用や立ち入り禁止命令を守らない行為に対しては代執行できない。なぜなら不作為義務だから。代執行は代替的作為義務に限って認められる。 ・行政代執行法は一般法であり、その適用には法律で個別に指示されていることは必要ないが、もっとも行政代執行に裁判所の許可は必要ない ・営業停止処分は、不作為義務を命ずるものであるから代執行の対象とならない ・代執行を行うには義務者が単に義務を履行しないことのみではなく、他の手段によってその履行を確保することが困難という要件を要求している ・代執行は、相当の履行期限を定めて戒告し、なお期限までに義務を履行しない場合に行われるが、非常の場合や危険が切迫している場合に、急速な実施の必要があり、かかる手続きを採る余裕がないときは省略できる ・違法建築物の所有者を相手として建物除去の代執行をする際に、当該建物に賃借人として入居している者の家財道具を搬出する行為は違法ではない ・戒告は公権力の行使にあたるものとして、取り消し訴訟の対象となる ・行政代執行が終了した場合には、代執行の戒告を取り消す意味がなくなるので、訴えの利益は存在しない。この場合国賠訴訟となる ・即時強制とは、目前急迫の障害を除く必要上、義務を命ずる暇のない場合、またはその性質上義務を命ずることによってその目的を達しがたい場合に、直接に国民の身体または財産に実力を加え、もって行政上必要な状態を実現する作用である ・国税徴収法は国税に関する一般法であり、強制徴収に関する一般法はない。戦前も強制徴収は行政執行法には定められていなかった ・財産差し押さえ、財産の換価のための公売処分等はそれぞれ行政行為とみられ、取り消し訴訟の対象となる ・一般私法上の債権と同様、訴えを提起し、民訴法上の強制執行の手段によってこれら債権の実現を図ることは農協が組合員に対して有する債権について特別の取り扱いを認めている趣旨に反し、許されない ・国または地方公共団体が専ら行政権の主体として、行政上の義務の履行を求めることは特別の規定がない限り提起できない ・警職法5条による静止措置は、即時強制の例である ・行征罰とは、広く行政上の義務懈怠に対し制裁を行うことをいい、義務は行政行為によって課されたものでも法令によって課されたものでも法令によって直接かされたものでもよい ・行政上の秩序罰は、行政上の義務違反に対し制裁としてかせられる罰をいい、将来に向かって義務の履行を強制する行政上の強制執行とは異なる。なお、秩序罰としての過料と刑罰としての罰金、拘留は、目的、要件、実現の手続きを異にし、併科を妨げない ・加算税(追徴税)は、納税義務違反を防止し、納税の実効をあげる趣旨で設けられた行政上の措置であって、制裁たる刑罰とは目的を異にするから、二重処罰にあたらない ・好評は、法的効果を伴わないので取り消し訴訟の対象とならない ・深刻な水不足が避けられない場合には、やむをえない措置として「正当の理由」が認められる ・相手方の任意の協力が得られない場合に間接強制によってなされる行政調査については、行政機関が国民の権利、自由に一定の制約を加える作用であることから、法律または条令にその根拠がなければならないが、当該行政調査の程度、態様等の実施の細目については、必ずしも具体的に法律または条例に規定されることを要しない ・国税犯則事件の調査は一種の行政手続きではあるが、実質的には租税犯の操作としての機能を営むものであって、租税犯操作の特殊性や技術性から専門的知識と経験を有する収税官吏に認められた特別の捜査手続としての性質を有するものであるから、その手続においては憲法38条の供述拒否権の保証が及ぶ ・旧所得税法所定の収税官吏の検査は、刑事責任追及のための資料の収集に直接結びつかないこと、実質上強制と同視すべき程度に達していないことから、裁判官の令状は必要ない。 ・都市計画法に基づく都市計画決定としての市街化区域と市街化調整区域の区別が私人の土地利用を規制する場合など、法律の根拠を有し、私人に対して拘束力をもつ行政計画もある ・土地区画整理事業計画には処分性が認められない ・都市計画法上の地域指定には処分性は認められない ・第2種市街地再開発計画の決定に処分性は認められる。なぜなら、当該決定により土地所有者等は自己の所有地等が収容されるべき地位に立たされ、しかも、補償を受けるかまたは建築施設の部分の譲り受け希望の申し出をするかの選択を余儀なくされるので、土地所有者等の法的地位に直接的な影響を及ぼすものであるからである ・行政計画が変更されることにより、勧告等に動機付けられて活動に入った者がその信頼に反して所期の活動を妨げられ、社会観念上看過することのできない程度の積極的損害を被る場合には不法行為責任を負う ・行政指導として教育施設の充実に充てるために事業主に対して寄付金の納付を求めること自体は、強制にわたるなど事業主の任意性を損なうことがない限り、違法ということはできない ・指導要綱に基づく教育施設負担金の納付を求めた行為は、行政指導の限界を超えるもので、違法な公権力の行使である。なぜなら、指導要綱の文言および運用の実態からすると、給水契約の拒否という制裁措置を背景に指導要綱を遵守させることは、行政指導に従って教育施設負担金の給付を事実上強制するものだから ・行政指導にはもはや協力できないといった意思を真摯かつ明確に表明し、当該確認申請に対し直ちに応答すべきことを求めていると認められるときは、他に特段の事情が存在しない限り、それ以後の行政指導を理由とする確認処分の留保は違法となる ・違法建築物に対して給水拒否をした措置は、申込人に対し建築確認を受けたうえで申し込みをするよう一応の勧告をしたものにすぎないし、その後も原告は何等措置を講ぜず、放置していたという事情がある。このような事情の下では、被告に不法行為法上の責任を負わせるべき違法があるとはいえないから違法とならない。 ・行政指導は行政行為でないことを理由として抗告訴訟の対象とはならない。 ・事業者団体がその構成員である事業者の発意に基づき各事業者の従うべき基準価格を団体の意思として協議決定した場合においては、たとえ、その後これに関する行政指導があったとしても、当該事業者団体がその行った基準価格の決定を明瞭に破棄したと認められるような特段の事情がない限り、右行政指導があったことにより当然に、独占禁止法にいう競争の実質的制限が消滅したものとすることは許されない ・地方公共団体相互間の合意による地方自治法上の事務委託の場合には、当該事務を処理する権限は、受託者にすべて移ることになる ・社会保険診療報酬支払い基金は、所轄大臣から諸種の監督を受ける反面、一定の場合には診療報酬の支払いを一時差し止める権限を有すること等から、診療報酬の支払い委託を受ける関係は公法上の契約関係である。 ・財団法人の会長である市長が、当該財団法人との間で契約を締結した行為に対して、民法108条および116条を類推適用できる。 ・地方自治法の規定上、地方公共団体の契約について随意契約によることのできる場合は制限されているが、この制限に反して締結された契約は、相手方との関係で無効とはならない。 ・公害防止協定のように、規制行政においても、法律の規制していない場合を規制したり法律より厳しい規制をするために契約の方式が採られている。 ・審査基準によらないでなされた審査手続は、公正手続といえない。なぜなら、免許の申請人は、公正な手続によって免許の拒否の判定を受ける法的利益を有するので、行政庁は、事実認定の独断を疑われるような不公正な手続をとることは許されない。 ・旅券法13条1項5号は、合憲であるとした。理由付記の程度は、その記載自体から了知しうるものでなければならず、単に根拠規定を示すだけでは原則として不十分である。 ・行政処分が諮問を経ない場合はもちろん、経た場合でも、諮問機関の審理、決定過程に重大な法規違反等があり、その決定自体に、諮問の経由を要求した法の趣旨に反する瑕疵があるときは、これを経てなされた処分も違法として取り消しを免れない。 ・運輸審議会の公聴会の審理手続も、その内容において、決定の基礎となる諸事項に関する諸般の証拠その他の資料と意見を十分に提出し、これを審議会の決定に反映させることを実質的に可能なら閉めるようなものでなければならない ・温泉法は知事が動力装置許可処分をすることについて、温泉審議会から意見聴取をすべき旨を規定しているが、知事が当該許可に際し、審議会を開くことなく審議会の委員に議案をもち回って各委員の意見を聴取したにすぎないときでも、当該許可処分が当然に無効となるわけではない ・教育委員会の行った懲戒免職処分が会議の公開に反するか争われた事案で、議事が公開原則に違反したとしても、具体的事案における違反の程度および態様が当該議案の議事手続全体との関係からみて実質的に公開原則の趣旨目的に反するというに値しないほど軽微であり、その瑕疵が議決の効力に影響を及ぼさない場合もありうる。 ・土地区画整理事業における換地処分を行う際に事前に土地区画整理委員会の意見を聴かなかった事案で、土地区画整理委員会の意見を聴くことは、単に換地処分等をするために一応の手続として要求したにとどまり、必ずしもその処分の有効要件であるとはいえない ・根拠法上の明示を欠く買収処分は、当然無効になるものではない ・諮問機関に対する諮問は、行政処分の客観的な適正妥当と公正担保のために要求されるので、「行政処分が諮問を経ないでなされた場合はもちろん、これを経た場合においても、当該諮問機関の審理、決定の過程に重大な法規違反があることなどにより、その決定自体に法が右諮問機関に対する諮問を減ることを要求した趣旨に反すると認められるような瑕疵があるときは、これを経てなされた処分も違法として取消をまぬがれない」 ・処分のうち、国会の両院の議決によってされる処分、裁判所の裁判によりされる処分等は、それぞれ独自の手続に基づいてされるものであり、行政手続法は、同法2章から4章までの規定の適用を除外している。 ・行政手続法は、地方公共団体に対する処分等について適用除外としている ・法律により直接設立された法人または特別の法律により特別の設立行為をもって設立された法人は、特殊法人といい、行政手続法第2章、3章の適用が除外されている。 ・法律の規定に基づく試験、検査等の行政上の事務についてその全部または一部を法律の規定に基づいて行政庁が指定して行わせる目的の下に設立された法人は指定法人といい、特殊法人にあたらない ・是正措置の勧告のような行政指導を地方公共団体が行う場合については、行政手続法第4章の「行政指導」の適用はない。 ・貸金業の登録は、許可制に近い役割をもった登録制度である。これは、行政手続法にいう「申請に対する処分」にあたる。 ・標準処理期間の設定は努力義務であるが、それが現実に設定された場合は、公にすることが義務付けられる ・標準処理期間の設定は努力義務であって、これを徒過した場合についての規定はない。なお、申請者の求めに応じ、進行状況および処分時期の見通しを示す努力義務が規定されている。 ・行政庁は、許認可等を拒否する処分をする場合は、申請者に対し、同時に、当該処分の理由を示さなければならないが、これは口頭でも足りる。ただし、許認可等を拒否する処分を書面でする場合には、理由は必ず書面により示さなければならない。 ・河川法によれば、河川の流水を占用しようとするものは、許可を受けなければならない。占用不許可処分は、流水を用いた事業活動を営むことができないという重大な不利益をもたらす行政処分であるが、行政手続法上、河川管理者は、占用不許可処分をするにあたって弁明機会付与又は聴聞を行わなくてもよい。 ・聴聞手続では期日において当事者等が口頭で意見を述べるのに対し、弁明手続では当事者は弁明書等の提出により防御権を行使する。両者は、相手方の利益に対する侵害の程度によって区別される。 ・行政庁は、不利益処分をするかどうか、またはどのような不利益処分とするかについて判断するために必要とされる基準を法令の定めにしたがって定め、かつ、これを公にしておくよう努めなければならない。 ・聴聞の期日における審理は、行政庁が公開することを相当と認めるときを除き、原則として非公開とされている ・聴聞を経てされた不利益処分については、当事者および参加人は、行政不服審査法による異議申し立てをすることができない。なお、審査請求はできる。また弁明の機会を経ても異議申し立てできる。 ・聴聞調書および報告書は、後述のように、不利益処分の決定の際に重要な意味をもつ文書であるから、当事者または参加人には閲覧請求権が認められている。 ・書面主義を原則とする弁明の機会の付与においても、行政庁が認めたときに限り、当事者は口頭で弁明することができる。 ・行政指導に携わる者は、その相手方に対して、当該行政指導の趣旨及び内容並びに責任者を明確に示さなければならない ・行政指導が口頭でされた場合において、その相手方から前項に規定する書面の交付を求められたときは、当該行政指導に携わる者は、行政上特別の支障がない限りこれを交付しなければならない ・行政指導は多様であることから、一律な文書主義はとられないが、相手方の求めがあったときは文書の交付が義務付けられる ・行政指導の明確性の原則を担保する措置として、複数の者を対照とする行政指導につき、行政機関はあらかじめ指導の指針を設定かつ公表する義務を負う。 ・法廷の届出事項にかかわる事由以外の事由、すなわち地域秩序の破壊、住民の生命・身体の安全への危険にかかわる事情を理由に市区町村長が転入届を受理しないことは許されない ・届出は提出先の機関の事務所に到達したときに、手続き上の義務が履行されたものとする ・情報公開法は、地方公共団体が保有している文書に対して直接適用されず、情報公開条例の制定を促すのみである。 ・情報公開法は、地方公共団体の機関をその対象としない。会計検査院は含まれる。 ・開示請求は、外国人を含む何人も行うことができ、開示請求を行う者は、行政機関の長が経済的困難その他特別の理由があると認めたときを除いて、所定の手数料を納めなければならない。 ・開示請求書に請求の目的、使用方法などを記載する必要はない ・開示請求書に形式上不備がある場合には、行政は必要な情報を提供した上で、補正を求めることができる ・開示請求を受けた行政機関の長が、開示の有無・範囲を決定した場合には、請求者に対し、その旨を書面により通知しなければならない。 ・情報公開条例に基づき、自己に関する情報を、本人が開示ないし公開請求してくる場合、個人情報という不開示ないし非公開の事由に該当しない。 ・他の情報と照合することにより、特定の個人を識別することができることとなるものも、個人に関する情報に含まれるため不開示情報である。 ・個人情報であっても、当該情報が慣行として公にされている場合には、個人に関する情報から除かれるから開示しなければならない。 ・情報公開・個人情報保護審査会は、開示決定等に係る行政文書を諮問庁に提示させ、実際に当該行政文書を見分して審議をするいわゆるインカメラ審理の権限を有しており、その調査審議の手続は公開しないものとされているほか、何人も情報公開・個人情報保護審査会に対し、当該行政文書の開示を求めることはできない ・公認会計士法が登録制度を採用しているのは、その者を大蔵大臣の監督に服させる趣旨であり、業務遂行の意思が明らかになっても直ちに監督関係が不要となるわけではない ・確定申告書の記載内容の過誤の是正については、その錯誤が客観的に明白かつ十台であって、前期所得税法の定めた方法以外にその是正を許さないならば、納税義務者の利益を著しく害すると認められる特段の事情がある場合でなければ、法定の方法によらないで錯誤を主張することは許されない ・外見上、一見して納税義務者本人の通称ないし別名と判断できるような場合は、第三者名義による申告も本人の申告としての効力がある。 ・公務員の退職願の撤回は、それが信義則違反と認められる特段の事情がない限り、原則として自由である。 <行政不服審査法> ・不服申し立て制度をどのように構成するかについては、法治主義から一義的に導かれるわけではない。よって、不服申し立てが一切なしえないとしても直ちに憲法違反の問題が生じるわけではない ・行政不服申し立ての対象は、行政庁の処分と不作為である。 ・審査請求は、処分長に上級行政庁があるときは、原則として処分長の直近上級行政庁に対しなされる。 ・主任の大臣または外局の長については、上級行政庁はない扱いとされ、異議申し立てによる。 ・国家公務員の任免権者は各省大臣であるが、不服申し立ては人事院に対してなされる ・再審査請求は、審査請求の裁決を経てさらに行う不服申し立てであり、その対象は、原処分であっても審査請求であってもよい。 ・不服申し立ては審査請求が原則だが、例外的に①処分庁に上級行政庁がない場合、②処分庁が主任の大臣または外局もしくはこれに置かれる庁の長である場合、③処分庁に上級庁があるが、法律により異議申立てによるべきものとされている場合は、異議申立てによる ・不作為の違法確認については、異議申立て・審査請求のいずれかを自由に選択できる。なお、再審査請求は認められていない ・例外的に異議申立てと審査請求の双方が認められる場合は、異議申立てに対する決定がなければ審査請求をなしえない ・行政不服審査法にいう不作為とは、不服申し立て人が行政庁に対して何らかの処分その他の公権力の行使を要請したにもかかわらず、これらをしないことをいい、ここにいう要請は、法令に基づいた申請をいい、事実上の申請を含まない ・権利能力をもつ自然人・法人はもとより法人格のない社団・財団も代表者または管理者の定めがあるものは当事者能力が認められる ・果汁含有率に関する表示について不当景品類及び不当表示防止法によって保護されている消費者の利益は、法律上の利益とはいえず、不服申し立ての利益が認められない。 ・審査請求は、直近上級行政処分庁になすが、処分庁を経由する必要はない ・不服申し立ては、他の法律に口頭ですることができる旨の定めがある場合を除き、書面を提出してしなければならない ・一部訂正後の異議申立て書は、直ちに異議申立てと解すべきでない。都市計画法施行令17条による異議申立てか単なる陳情であるかは、文書の形式的文言でなく、当事者の意思解釈によるべきである ・行政不服審査法上の教示制度は、同法に基づく審査請求または異議申立てができる処分だけではなく、他の法令に基づく不服申し立てができる処分についても、一般的に適用される ・教示が必要なのは、書面による処分の場合に限られる ・教示制度は、行政庁が不服申し立てをなしうる処分を書面でする場合に、処分の相手方に対して不服申し立ての可否などを教示するものであり、不作為の場合は含まない ・地方公共団体その他の公共団体が私人と同じ立場において処分の相手方に立つ場合は教示が必要となるが、固有の資格において処分の相手方になる場合は教示は不要である。 ・行政庁は、不服申し立てをすることができる処分を書面でする場合には、書面により教示を行う義務を負う ・行政庁が教示を怠ったり、教示を誤ったりした場合でも、そのために行政庁の処分や裁決が違法となるわけではない ・処分庁が、当該処分につき異議申立てをすることができる旨を教示しなかったときは、異議申立ての決定を経ることなく審査請求をすることができる ・行政不服審査法も執行不停止原則を採り、不服申し立ての提起のみでは処分の効力は不変である。執行停止は、不服申し立て人の申立て、処分庁・審査庁の職権によりなされる ・行政不服審査法において、行政事件訴訟法と異なり補正は必要的で、補正することなく却下した裁決、決定は違法である。 ・口頭意見の陳述は、要件審理には保障されない ・行政不服審査法は、審理のあり方について職権主義をとっており、職権証拠調べ画供されている ・選挙の効力に関する争訟に、職権探知主義が妥当する。必ずしも訴願人の主張した事実のみを斟酌すべきものではない ・行政不服審査法は、私人の救済を第一の目的としていることから、不告不利、不利益変更禁止の原則が適用される。 ・不作為についての異議申立てに対しては、不作為庁は、異議申立てがあった日の翌日から起算して20日以内に申請に対する何らかの行為をするか、又は書面で不作為の理由を示さなければならない ・処分に対する審査請求に理由があるときは、当該処分の全部または一部を取り消す裁決がなされる。なお、審査庁が処分庁の上級行政庁であるときは、審査庁は、裁決で当該処分を変更することができる。ただし、審査請求人の不利益に変更することはできない ・審査庁が裁決すべき期間が法定されている場合でも、それは裁決の遅滞を防止するための訓示的規定であるから、当該機関を徒過しても裁決は違法とはならない <行政事件訴訟法> ・人事院の修正裁決は懲戒権の発動を承認し、職員に課する処分の内容を変更するものにすぎず、原処分は当初から修正どおりの懲戒処分として存在するとして、原処分取消の訴えを適法とした。 ・審査請求に対して処分をそのまま維持した裁決に関し、裁決の取消の訴えと処分の取消の訴えが提起された場合において、判決によって処分が取り消されたときには、裁決の取消の訴えは、訴えの利益がなくなったものとして却下される ・命令・強制・許可・免許のいわゆる講学上の行政行為は処分にあたる。ただし、不特定多数を相手方とする一般処分は、必ずしも直接特定人の権利義務を具体的に確定するものではないため、当然には処分にあたらない ・不服申し立てに対する決定・裁決は、当然に取り消し訴訟の対象として認められる ・人の収容・物の留置その他継続的な性質をもった公権力的事実行為について、取消訴訟の提起が認められる。強制入院、退去強制送還前の収容など。 ・国家公務員の免職などの不利益処分や生活保護の決定及び実施に関する処分は取消訴訟の対象となる ・原則として、①内部行為、②私法上の行為、③行政機関による規範定率行為、④行政計画、⑤事実行為には処分性がないとされる ・運輸大臣が日本鉄道建設公団の工事実施計画に与えた認可に処分性はない ・建築許可に対する消防長の同意に処分性ない ・地方公共団体の長が公共工事に係る指名競争入札への参加希望者のうち一定の者を指名から排除する行為は、処分にあたらない ・墓地・埋葬等に関する通達に処分性ない。 ・国所有の普通財産の売り払いに処分性ない ・労災就学援護費の支給に関する決定に処分性がある ・一括指定の方式による2項道路の指定に処分性ある ・都市計画法に基づく地域地区指定決定に処分性ない ・土地区画整理事業計画に処分性ない ・市町村営の土地改良事業についての事業施行の認可に処分性ある ・第2種市街地再開発事業の事業計画決定に処分性ある ・開発行為に対する公共施設管理者の不同意に処分性ない ・供託間による供託物取り戻し請求の却下は処分性ある ・輸入禁制人該当の通知に処分性ある ・検疫所長による食品衛生法違反の通知に処分性ある ・反則金の通告に処分性ない ・家賃台帳作成・登載行為に処分性ない。 ・公務員の採用内定の通知は、単に採用発令の手続を支障なく行うための準備行為としてなされる事実状の行為にすぎず、採用内定を受けた者の法律上の地位ないし権利関係に影響を及ぼすものではないから、行政庁の処分に当たらない ・処分の根拠法規が保護しようとしている権利利益が「法律上の利益」と考える見解 ・空港周辺に居住する住民は、新規路線免許により生じる航空機騒音によって、社会通念上著しい障害を受ける者には、免許取消を求める原告適格が認められる。 ・原子炉等規制法にかかる原子炉設置許可の無効確認訴訟において、付近の住民に原告適格が認められる ・都市計画法上の開発許可によって、がけ崩れ等の危険にさらされる者に開発許可の取消を求める原告適格がある ・既存公衆浴場業者に新規参入者に対する営業許可の取消を求める原告適格がある ・遺跡を研究の対象としてきた学術研究者に、史跡指定解除処分に対する取消訴訟の原告適格はない ・風営法施行条例3条1項1号所定の地域に居住する者の、風俗営業の許可の取消を求める原告適格は認められない ・経営許可がなされた墓地の周辺に居住する住民の原告適格は認められない ・森林法の林地開発許可がなされた土地の周辺住民の原告適格について、土砂の流出または崩壊、水害等の災害による被害が直接的に及ぶことが想定される開発区域に近接する一定の範囲の地域に居住する住民には原告適格が認められる ・総合設計許可の取り消し訴訟において、許可建築物の倒壊・炎上等に伴う直接的な被害を受けることが予想される居住者に原告適格認められる ・総合設計許可の取り消し訴訟において、日照を阻害される周辺の居住建築物の居住者に原告適格は認められる ・特定の町名を用いることによる利益不利益は事実上のものにすぎず法的利益と解すべき根拠はないとして、住民の原告適格を否定した ・市町村の合併は住民の権利義務に直接関係せず、住民に法律上の利益は認められないので、原告適格は否定される ・競願関係では、免許処分と他への拒否処分は表裏をなし、異議申立て棄却決定が取り消されれば、郵政大臣による再審査の結果、ある者へのテレビ放送局開設免許を取り消し、別の者に付与する可能性もあるとして別の者の原告適格肯定した ・一般消費者の利益は景表法が公益を保護する結果生じる反射的利益であるとして、一般消費者の不服申し立ての利益は認められないとした。 ・建築確認は、当該工事が完了した場合は、建築確認の取消を求める訴えの利益は失われる ・名誉・信用のような人格的利益は「法律上の利益」に含まれない ・保安林指定解除の取消訴訟において、代替施設が整備された場合には、訴えの利益はない ・土地改良事業の認可処分が取り消された場合に、原状回復が不可能であっても、訴えの利益が認められる。 ・訴えの変更前後における請求の訴訟物が同一である場合、または出訴期間を遵守したといえる特段の事情がある場合、出訴期間後になされた訴えの変更が適法とされる ・青色申告所による申請についてした更正処分の取消訴訟において更正の理由とは異なるいかなる事実をも主張することができると解すべきかどうかはともかく、被告が本件追加主張を提出することは妨げないとした原審の判断は、結論において正当として是認できる ・住民監査請求関係記録の情報非公開決定処分の取消訴訟において理由の差し替えは許される。 ・処分の取消の訴えを提起した後に訴えの利益が消滅した場合、原告は当該訴えを、当該処分が違法にされたことを理由とする国家賠償請求の訴えに変更して訴訟を維持することができる。 ・産業廃棄物のいわゆる管理型最終処分場の設置許可申請に対する知事の不許可処分の取消訴訟において、当該施設の周辺に居住し、当該施設から有害な物質が排出された場合に生命、身体等に直接的かつ重大な被害を受けることが想定される範囲の住民は、「訴訟の結果について利害関係を有する第三者」にあたり、知事に対し、民事訴訟法42条に基づく補助参加をすることができるとした。 ・行政庁の専門技術的裁量行為についての取消訴訟においては、被告行政庁が依拠した具体的審査基準ならびに調査審議および判断の過程等、被告行政庁の判断に不合理な点があることを原告の側が常に主張立証する責任を負う。 ・行政庁が許可処分を行う際に前提とした安全性に関する科学的知見が変動した場合には、当該処分の取消訴訟においては、裁判所は現在の科学技術水準に照らして審理、判断すべきである。 ・取消訴訟において、処分を取り消すことにより公の利益に著しい障害を生ずる場合で、原告の受ける損害の程度等の事情を考慮したうえ、処分の取消が公共の福祉に適合しないと認めるときは、処分の違法性を宣言した上で請求を棄却する ・処分の取消訴訟において、裁判所は処分時における処分の適法性を判断すべき ・処分の取消判決が確定した場合、公権力の行使に関する法律関係を画一的に規律するため、行政庁の取消を待たず、処分時にさかのぼって当然に処分の効力が消滅する ・処分の取消判決は、訴訟当事者はもとより第三者に対しても効力を有するから、行政事件訴訟法においては、第三者の訴訟参加および第三者の最新の訴えの制度が設けられている。 ・取消訴訟の判決には、第三者効があるため、第三者保護の見地から、訴訟参加制度などがある。この訴訟参加の法的性質は、共同訴訟的補助参加とされている。 ・第三者の訴訟参加は、共同訴訟的補助参加であり、被参加人が単独で訴えを取り下げたとしても、参加人になんら効力を及ぼさない ・行政庁は、同一事情の下、同一理由による同一内容の処分をすることはできないが、同一事情でも同一理由でない限り、同一内容の処分をすることはできる ・既判力は、判決で判断された事項に関して再び裁判所で判断しないという効力にすぎず、行政庁の職権による取消を排除する効力をもたない ・事情判決の存在により、当然に、取消訴訟が、国家賠償請求訴訟に切り替わるものではない ・中間違法判決の制度とは、終局判決前に、裁判所が係争処分または裁決が違法である旨の判断を示して、被告側において損害の除去、補填などを可能にするような対策がなされることを期待し、この結果を勘案して、事件の公共の福祉に適合する解決を図ろうとするものである。ただし、中間判決があっても、当然に損害賠償義務が発生するわけではない。 ・処分の取消の訴えが提起されても、当然には処分の効力、処分の執行又は手続の続行が停止されることにはならない ・公権力の行使に当たる程度については、執行停止制度が認められており、民事保全法に規定する仮処分は排除される ・執行停止の申し立てにあたっては、本案訴訟が適法に継続していることが必要である ・処分の執行停止は当該処分の取消の訴えを提起した者の申立てによってのみなされるものであり、その場合、申立人は執行停止の要件たる事実の存在について疎明すれば足りる ・執行停止をするには、、本案訴訟が適法に継続していることが必要とされ、その意味で保全手続が独立してなされる民事訴訟とは異なる。 ・申請拒否処分は、国民の権利利益に対する積極的効果をもたらさないので、執行停止は認められない。 ・執行停止の決定が確定した場合でも、その理由が消滅し、その他事情が変更したときは、裁判所は、相手方の申立てにより、決定をもって、執行停止の決定を取り消すことができる。 ・処分の効力の停止は、処分の執行または手続の続行の停止によって目的を達することができない場合にのみすることができる。その効果は、将来効を有するにとどまる。 ・執行停止には、第三者効が認められている ・事情変更による執行停止の取消は、相手方の申立てをまってはじめてできる。 ・退去強制令書の執行は、原告の裁判を受ける権利を否定しない。なぜなら、一般に裁判を受ける権利には、本人による訴訟追行権の保障まで含まれないから。 ・原告による処分の執行停止の申し立てがあった場合には、裁判所の執行停止の決定があった後においても、内閣総理大臣は裁判所に対し理由を付して異議を述べることができるとされており、執行停止の決定後に内閣総理大臣の異議があったときには、裁判所はその決定を取り消さなければならない ・農地買収計画に基づく農地買収処分および売り渡し処分の完了後、農地の元所有者が当該計画の執行停止決定を得た場合、元所有者の所有権は、暫定的に回復しない。なぜなら、執行停止決定は、将来効を有するだけだから。 ・執行停止について総理が異議を申し立てた場合、次の国会における報告が義務付けられている。しかし、承認を受ける必要はない。 ・執行停止の決定に対して総理が異議を述べた場合、裁判所はその異議の当否に関して判断し棄却することはできない ・違法建築に対して、行政から是正措置を採るように命じることを求めた訴訟は、義務付け訴訟であり、抗告訴訟にあたる ・原子炉の週変異居住する住民が、当該原子炉の設置許可処分が無効であると主張してこれを争おうとする場合には、原子炉の設置者に対してその建築ないし運転の差し止めを求める民事訴訟は、当該処分の効力の有無を前提とする現在の法律関係に関する訴えに該当しないから、当該設置許可処分の無効確認の訴えを提起することができる ・入会林野整備計画の認可が向こうの場合には、入会権に基づく妨害排除請求訴訟を提起できるとして、無効確認の訴えを提起できないと判断してる。 ・認可により事業施行区域内の宅地所有者は、組合員の地位を取得させられるので、同組合の事業施行に伴う換地指定処分等の処分を否定する場合には、認可の無効確認の訴えを提起できる。 ・無効確認訴訟については、出訴期間の制限に関する取消訴訟の規定は準用されていないから、土地収用法に基づく土地収用裁決により土地を収用された者は、出訴期間が経過した後、土地収用裁決の無効確認訴訟を提起することはできない ・争点訴訟とは、私法上の法律関係に関する訴訟で、行政庁の処分もしくは裁決の存否又はその効力の有無を前提として争われるものであるが、行政訴訟に含まれず、あくまでも民事訴訟である。 ・不作為の違法確認の訴えに際して、原告は申請権を有すること、つまり、原告の申請に対し、行政庁の応答義務が必要である。 ・不作為の違法確認の訴えは、申請をした者に限り、提起しうる ・法令上一定期間内に処分をしないときは申請を認容したものとみなされる場合において、その期間内に処分がなされなかった場合には、不作為の違法確認の訴えを提起することはできない ・行政庁が飲食店の営業許可の申請に対して相当の期間内に処分をしなかったために、不作為の違法確認を求める訴えが提起され、判決によりその不作為が違法である旨確定したときは、行政庁はあくまでも申請に対する諾否を決定するという応答義務を負うにとどまり、許可処分をする義務を負うわけでない。 ・義務付け訴訟は、行政事件訴訟法上、私人の申請が予定されている場合(申請満足型義務付け訴訟)とそうでない場合(直接型義務付け訴訟)とに分けられる ・申請に対して拒否処分を受けた者は、義務付け訴訟を提起するには拒否処分の取消訴訟を併合して提起しなければならない ・申請に対して拒否処分を受けた者が、拒否処分の取消訴訟と義務付け訴訟を併合して提起した場合、取消訴訟が棄却された場合、併合された取消訴訟が棄却されたので、当該義務付け訴訟は認容されない ・日本国籍を有していることの確認を求める訴訟は、公法上の法律関係に関する確認の訴えであり、当事者訴訟にあたる ・形式的当事者訴訟とは、当事者間の法律関係を確認しまたは形成する行政処分に関する訴訟であるが、法令の規定により法律関係の当事者一方を被告とするものをいう ・収用委員会は、補償の範囲および額の決定につき裁量権を有しない ・形式的当事者訴訟の例として、特許無効の審判を争う訴えがある ・実質的当事者訴訟とは、公法上の法律関係に関する訴訟を言う ・当事者訴訟については、公告訴訟と異なり、国、地方公共団体等の法主体を被告としなければならず、行政庁は、訴訟参加することは格別、被告適格を有しない ・客観訴訟においては、主観的な訴えの利益は必要なく、広く原告適格が認められる。 ・国又は公共団体の機関の法規に適合しない行為の是正を求める訴訟で、選挙人たる資格その他自己の法律上の利益にかかわらない資格で提起する訴訟は、法律上の争訟に該当しない ・市長に対し、カラ出張に関する出張費の返還請求することを求める訴訟は、地方自治法上の住民訴訟であり、民衆訴訟にあたる。 ・選挙の無効を求める訴訟は、民衆訴訟にあたる。 ・住民訴訟は、民衆訴訟の1つであり、地方公共団体の財務の公正を確保することを目的とするため、その対象は財務会計行為に限られる ・期間訴訟とは、国または公共団体の機関相互間における権限の存否またはその行使に関する紛争についての訴訟をいう。たとえば、長と議会の紛争に関する訴訟etc <国家賠償> ・国または公共団体は、加害行為・加害公務員不特定を理由として国家賠償法または民法上の損害賠償責任を免れることはできない ・国場違法1条の「公権力の行使」とは、国または公共団体の権限に基づく優越的な意志の発動たる権力作用に限られないが、純然たる私経済作用と2条に規定する公の営造物の設置管理作用は含まない ・課外のクラブ活動でも、国場違法の適用対象となりうる。もっとも、顧問の教諭に常時立会い監視すべき義務までない。 ・弁護士会は、弁護士法により、弁護士に対する懲戒権の行使をゆだねられている団体であり、その懲戒権の行使は公権力の行使にあたるから、国賠1条にいう「公共団体」にあたる。 ・裁判に上訴等の訴訟法上の救済方法によって是正されるべき瑕疵が存在したとしても、これによって当然に国賠法1条1項の規定にいう違法な行為があったものとして国の損害賠償責任の問題が生ずるわけではなく、右責任が肯定されるためには、裁判官がその付与された権限の趣旨に明らかにそむいてこれを行使したものと認めうるような特別の事情が必要である ・パトカーによる追跡それ自体は、法律の定めに従ったものであっても、第三者に対する具体的な危険の発生を考慮せず、不相当な方法で行った場合違法性はある ・税務署長のする所得税の構成は、所得金額を過大に認定していたとしても直ちに国賠1条の違法があったとの評価を受ける者ではなく、職務上通常尽くすべき注意義務を尽くすことなく漫然と公正したと認めうるような事情がある場合に限り、違法となる ・当該業者の不正な行為により個々の取引関係者が損害を被った場合でも、知事に監督処分権が付与された趣旨・目的に照らし、その不行使が著しく不合理と認められるときでない限り、権限の不行使は違法の評価をうけるものでない ・医薬品に対するその時点における医学的所見の下において、薬事法の目的及び大臣の権限の性質に照らし、権限の不行使が許容される限度を逸脱して著しく合理性を欠くと認められるときは、違法となる ・裁判官が違法または不当な目的をもって裁判をした等、裁判官がその付与された権限の趣旨に明らかに背いてこれを講師したと認めうる特別の事情がある場合異は、国賠法1条1項の責任を肯定しうる ・「損害」には精神的損害を含む ・国が賠償責任を負う場合に、公務員は直接被害者に責任を負わない ・2条の公の営造物の管理については、国または公共団体が事実上の管理があれば足りる ・道路の安全性に欠如があったとしても、時間的に遅滞なく現状に復し安全な状態に保つことが不可能であった場合は、管理に瑕疵があったとはいえない ・危険防止施設の安全確保義務の範囲は、相当程度標準化されて普及しているか、当該施設の必要性、設置の困難性等を総合考慮して判断すべきである。 ・3Ⅰ「設置費用の負担者」とは、営造物の設置費用につき、法律上負担義務を負う者の他、この者と同等もしくはこれに近い設置費用を負担し、実質的にはこの者と当該営造物による事業を共同して執行していると認められる者であって、当該営造物の瑕疵による危険を効果的に防止しうる者をいう。 ・3Ⅰの趣旨は、被告選択の困難を除去し、危険責任の法理にたって被害者の救済を全うしからしめる趣旨であるから、営造物の設置費用につき法律上負担義務を負う者のほか、実質的にはこの者と当該営造物による事業を共同して執行していると認められる者を含み、また当該費用を補助金として交付している者も含む ・失火責任法は国賠法4条の民法として適用される ・道路公害に関し、国と半身道路公団の共同不法行為が認められた ・職員に故意・重過失がある場合にまで国家賠償を制限する郵便法68、73は憲法17条に反する
https://w.atwiki.jp/ryutistvariety/pages/60.html
【いろいろにいがた にいがたからーず】 初演日 2014.07.20(夏の天空雪まつり in 八海山) 作詞 瀧神 朋生 作曲 田村 樹義 2014年7月20日、新潟県南魚沼市八海山スキー場で開催された「夏の天空雪まつりin八海山」のステージにて初演。曲調は映画「となりのトトロ」のオープニング曲「さんぽ」のような低年齢層にも馴染み易いマーチングであり、シンバルを鳴らすようなフリから曲に入る。4番まである歌詞は四季の順であり、メンバーそれぞれの生まれ月に準じたシーズンにおいてセンターが変わる。即ち、春:ともちぃ、夏:わっかー、秋:のんの、冬:むうたんとなる。
https://w.atwiki.jp/83452/pages/11291.html
梓「あ、いえ……なんでもないです」 唯「アルバイト? ソープはダメだよ?」 梓「わ、わかってますよ! あんなとこもうごめんです!」 唯「思い出の場所だけどね」 梓「もうっ!!」 唯「ごみんごみん」 梓「それで、いろいろ求人広告みたりしてたんですけど」 唯「うーん……あずにゃんがバイトかー」 梓「……だ、だめですか? だめならもういいですけど」 唯「そうだよねー……外でないとねー」 梓「はい。外ではたらいて、お金入れて、今以上にもっと唯先輩のお役にたてれば」 唯「いや、そうじゃなくてさ。ほら、あずにゃんもここにきて一ヶ月」 唯「だいぶ落ち着いたし、そろそろ色々なことしたほうがいいかなって思ってたんだ」 梓「いろいろですか」 唯「うん。あずにゃんが楽しい生活を送れるようにってね」 唯「そりゃあ、このおうちで私だけの家政婦さんしてくれるのも嬉しいけどね」 唯「でも私はもっとあずにゃんに広い世界をしってほしいなって思ってさ」 梓「唯先輩……」 唯「だからあずにゃんがアルバイトしたいって言うなら止めないよ」 唯「習い事とか旅行とかほかにしたいことがあったらお金もだしてあげる」 唯「若いうちにいろんな経験してたくさん思い出つくって、二人で立派な大人になろうよ」 梓「唯先輩はとっくに大人です……私なんかとは比べ物にならないくらい」 唯「私はかなり特殊な世界だからね。まわりもみんな大人だよ。私自身はとても胸張って立派とは言えないけど」 梓「それでも……唯先輩は大人です……すごく大きくて、遠く感じるときがあります」 唯「そっかなー」 梓「大人に……私もなれますか?」 唯「うん! アダルティーなあずにゃんを期待してるよ」 梓「そ、そういう意味じゃないでしょ!!」 唯「でも背はおっきくならないでね! 私いまのあずにゃんが抱き心地最高だとおもってるから」 梓「牛乳いっぱい飲んでやります」 唯「それで、バイトの目星はつけた?」 梓「はい、どうせなら接客をやろうかなと」 唯「度胸あるね。さすがソープにむぐががあいひゃいいひゃい」 梓「最低です」 唯「で、でで、どんな職種?」 梓「まだわかりません。この広告以外にも街中にでてたりするのでそっちも見てみようかと」 唯「働くようになったら通うよ」 梓「だめです。噂されちゃいます」 唯「あ、でも晩ご飯はちゃんとつくって待っててね?」 梓「はい。だからせめて夕方にはあがれるバイトにしようかと。ちょっと都合良すぎですかね」 唯「いやいや、バイトさがしがんばれー」 梓「あ、唯先輩。もうそろそろ行く時間っていってませんでした? マネージャーさんと駅で待ち合わせしてますよね?」 唯「おぉ! しまった。ついあずにゃんに夢中になってた。ごちそうさま!」 梓「いってらっしゃい唯先輩」 唯「ねー。いってきますのチュー」 梓「もうっ……チュ。これでいいですか」 唯「おっ、今日はしてくれた! 機嫌いいんだ」 梓「……むぅ」 唯「私がちゃんと帰ってきたから嬉しいんだよねー?」ナデナデナデナデ 梓「もぉ! 遅れますよ!」 唯「まぁこわぁい……ふふふ、いってきまーす!」 梓「ふふっ、お仕事がんばってくださいね」 ドタドタ ガチャン バタン 梓「……いっちゃった」 梓「今日は打ち合わせだけって言ってたから夜には帰って来るかな」 梓「あ、晩ご飯のリクエスト聞くの忘れてた」 梓「こういうときやっぱ携帯電話ほしいなーって思う」 梓「あ、バイトするなら買わないとだめかも」 梓「唯先輩買ってくれるかな? でも高いよね……」 梓「なんか唯先輩にはいろいろもらってばっかりだなー」 梓「結局服とか靴も買ってもらっちゃったし……」 梓「私、唯先輩に何かしてあげれてるのかな」 梓「料理は自身あるけど、それでもやっぱレストランのほうがおいしいし……」 梓「唯先輩って外でいいものたくさん食べてそう」 梓「だって話題沸騰中の歌手だよ。国民的スターだよ」 梓「私ってなんてちっぽけ……あぁ」 梓「……だめだめっ、がんばるって決めたもん」 梓「お買い物にいくついでに求人さがそう」 梓「あと、唯先輩のニューシングルも買わなきゃ」 梓「……そういえばなんで私にお小遣いくれるんだろう」 梓「月に一万円ももらっても使い道ないよ」 梓「……もらってばっかりの生活早くやめたい」 …… スーパー 梓「んー、こっちも安い……でもこれも食べたい……」 梓「……」キョロキョロ 梓「平日の昼間から買い物してる若い子なんて私くらいだよね」 梓「あーあ、何だと思われてるんだろう」 梓「まさか世紀のスターユイの家政婦とは思うまい」 梓「ふふふっ……あ、私いま気持ち悪い」 梓「んー、よし。お豆腐安いし今晩はマーボー豆腐にしよ」 梓「お昼は昨日の残りがあるから大丈夫」 梓「唯先輩はお肉多めがいいのかな」 梓「……そういえばスーパーのバイトってどうなんだろう」 梓「ここ、求人貼ってないかな……あっ、あった!」 梓「えっと……18歳以上。10時~18時まで!」 梓「……無理か」 梓「どうせなら楽しそうな仕事がいいな」 …… 梓「あ、求人張り紙……」 【田井中うどん】 接客、宅配従業員募集中 時給710円~ 学歴不問 年齢16歳以上 女性可 高校生不可 個人経営の働きやすくて楽しい職場です 交通費、まかない有り 制服貸与 梓「うどん屋……うどん屋かぁ……」 梓「私に似合うかなぁ……」 梓「ここにしよっかな」 梓「……よしここにしよ!」 梓「駅前かー。帰りにのぞいてみよう」 梓「早く働いて唯先輩に楽させなきゃ!!」 …… 梓「ここだ……な、なんか怖そう」 梓「やってるのかな……」 梓「ええいっ」 梓「ごめんくださーぃ……」 梓「……?」 梓「ごめんくださ」 「はいはいはい、いらっしゃいいらっしゃい!」 梓「うわっ!」 「お一人様で? 奥の席あいてますよー」 梓「いや、その……私、求人をみて……きました」 「あ、アルバイト希望の人? おーやった! じゃああがってあがって」 梓「は、はい」 「そこ座って。荷物ここ。あ、買い物帰り? 水飲む?」 「はじめまして私はここの店長やってる田井中律。よろしくねん」 梓「あ、中野梓と申します……」 律「かたくならなくていいってー!」 梓「はぁ……」 梓(苦手なタイプかも……) 律「で、お嬢ちゃんおいくつ?」 梓「あの、一応17歳です……」 律「17!? まじ? 中学生かと思った」 梓「……」 律「うそうそ冗談! てか中学生雇えねーし! あははっ」 梓「それで……」 律「17ってことは高校生? うち高校生は」 梓「いえ、高校には……いってません」 律「じゃあいまフリーター?」 梓「あの……家政婦です……家政婦!」 律「ふーん、なかなか変わってるね。うちでほんとにやってける?」 梓「接客したりするんですよね?」 律「そうそう。慣れれば簡単だよー」 梓「はい……大丈夫です」 律「うーん、ちょっと表情がかたいかな。うちは元気が売りだから」 梓「頑張ります」 律「よし、採用!」 梓「はやっ」 律「がんばるっていったじゃん! ならそれでよし!」 梓「あの、ほんとにいいんですか? こんなどこの馬の骨ともしらない……」 律「いいんじゃない? 悪い人には見えないし」 梓「あ、ありがとうございます。嬉しいです」 律「人間いろいろあるって! はっは!」バシバシ 梓「いた……いたた」 律「じゃあ明日から早速いいかな? 人手たりなくて困ってたんだー」 梓「はい! よろしくおねがいします!」 律「うむ、良い返事だ梓」 梓「あ、梓……」 律「いい名前じゃん、梓。あ、私のことは律店長って呼んでくれ!」 梓「あ、わ、わかりました律店長!」 律「おっけい! じゃあ私まだ仕事あるから、また明日な!」 梓「はい!」 平沢家 梓「…………なんかあっというまに決まった」 梓「……やった。やったぁ……!」 梓「唯先輩、私アルバイト決まりましたっ!」 梓「うれしいなぁ……ちょっとガラ悪いけどいい人そうだったし」 梓「よーし、がんばるぞー!」 梓「晩ご飯つくろっ!!」 …… 梓「~~♪」ジュウジュウ 梓「~♪~♪」ジュージュー 梓「早く帰ってこないかなー早く帰ってこないかなー」 梓「んー、もう七時」 梓「~♪ ~~♪」 ガチャリ 梓「あっ!! 帰ってきた!」 「あずにゃ~んただいまああ~!!」 梓「はぁーい! おかえりなさーい!!」 「ちょっときてー」 梓「はいはい、行きますよっ」トコトコ 梓「はい、なんですか♪」 唯「えへ~、あずにゃんただいまー!」 梓「聞こえてましたよ。おかえりなさい!」 唯「あのねー」 梓「あ、おかえりのチューですか? だめですよっ! 唯「ほえ? してくれるの?」 梓「い、いえ……でなんですか?」 唯「あのねー」 梓「はい」 唯「あずにゃんに紹介したい人がいるんだー、でへへ」 梓「えっ……? 紹介……え?」 唯「いま外でまってるー」 梓「あの……いまなんて……」 唯「紹介したい人がいるんだー」 梓「……」フラッ 唯「あずにゃん? どったの?」 梓「……わ、わたしもう寝ます……」フラフラ 唯「えぇ!? なんで!」 梓「わ、わかんないんですか……ヒッグ」 唯「え? え? 泣いてるの? あずにゃん!」 梓「どうせ私なんて……私なんてただの家政婦……グス」 唯「ちょ、ちょっとまってよー。わけわかんない」 梓「私、馬鹿みたい……一人で舞い上がって……」 唯「なんかよくわからないけど……よしよし」ナデナデ 梓「やめてください! そういうのはっ!!」バッ 唯「あっ……」 梓「その紹介したいっていう大事な人にすればいいじゃないですか!!」 唯「あ、あずにゃん……っ」 梓「もうしらない!! 唯先輩のことなんかしらない!! あとはご勝手に!!」 唯「え? や、やだよー」 「ちょっと唯、なんかその子盛大に勘違いしてない?」 唯「あ、和ちゃん。ごめんね? はいってはいって」 梓「ふぇ……?」 和「はじめまして梓ちゃん。私唯のマネージャーやってる真鍋和です」 梓「ま、まね……?」 唯「だから紹介したいって言ったじゃん。あれ? マネージャーとは言わなかったか。てへっ」 梓「あっ……あっ……あああっ!! にゃあああっ!!」ボンッ 唯「おやおや。あずにゃんどうしたー真っ赤になって」 和「唯、なんとかしなさい」 梓「うわああっ!! すいませんすいません!! うわあああっ!! 恥ずかしっ!!」 唯「あぁー、嫉妬してくれたんだ。それで泣きそうだったのねーういヤツういヤツ」 梓「ち、ちがっ!!」 唯「そうなんでしょ……? 嬉しいなーあずにゃん」 梓「うぅ……」 唯「あ、和ちゃん! この子があずにゃん。中野梓ちゃん。私のお嫁さ」 梓「家政婦ですっ!!」 和「唯から耳にたこができるほど話は聞いてるわ。よろしくね梓ちゃん」 梓「は、はい! どうも先ほどはお見苦しいトコをおみせしました。すいません」 唯「かわいーかわいー」 梓「もうっ、からかわないでください!!」 和「ふふっ、ほんとに仲がいいのね。……ありがとう」 梓「え? お礼なんて、どうして」 和「これでも唯はね、梓ちゃんにであってからすっごく明るくなったのよ」 唯「そ、そうかなぁ……えへへ」 和「毎日毎日幸せそう。それはあなたのおかげ」 梓「そんな……私なんて……」 和「いいえ、長い付き合いだからわかるの」 梓「そうなんですか」 和「そう、とっても長いのよ……それでも私にはあの時の唯を笑顔にすることはできなかった」 唯「和ちゃん……」 和「すごいのね。梓ちゃん。ただそれを言いにきたの。邪魔したわね」 梓「いえっ、そんな……あ、せっかくですから晩ご飯一緒にいかがですか」 唯「あーそうだよー食べていきなってー」 和「うーん、遠慮しとくわ。私新婚さんに割って入るほど図太くないもの」 梓「し、新婚さん……?」 和「あら? 唯からはそう聞いてるけど」 唯「え? えへへー……えへへ和ちゃんなんで言っちゃうかなぁ」 和「?」 梓「ゆーいせんぱぁい?」 唯「こ、言葉のあやでして……許してぇ……」 和「でも一緒にお風呂はいったり寝たりもするんでしょ? なら実態は変わらないわ」 梓「ちょっと!! なんでそんなことまで!!!」 唯「の、和ちゃん……」 梓「唯先輩!」 和「もう帰るわね。それじゃあ」 唯「和ちゃんひどいよ~あずにゃんに嫌われちゃう~~」 和「唯は底なしらしいけど、その時はがんばってね」 梓「にゃ、なにを!!?」 唯「……むふ」 和「あと、唯。先週の放課後ソープ天国は経費じゃ落ちないから。それだけ伝えとくわ」 唯「ちょっと和ちゃん!!!?」 梓「…………は? 放課後何?」 梓「ソープ……? ……は?」 5
https://w.atwiki.jp/ndxbn/pages/50.html
フブキンのいとこ。性別は男。 本当にいとこかは不明だがおきしげが彼に遭遇。 その際「うちのフブキン」と言っていたことから、 どうやらスネ吉兄さん的なポジションのようだ。 広告下げ用