約 4,753,412 件
https://w.atwiki.jp/monosepia/pages/4116.html
いろいろブログ&サイト【TOP】 ■ DV防止法犠牲家族支援の会を立ち上げました! ・デッチ上げの暴力で家族を破壊されてたまるか! --------------------------------- ■ 児童虐待5万超のいかさま 「新・心に青雲(2011.7.21)」より ・役人は基本的に国民の奉仕者ではなく、自分たち公務員特権階級の利益のためにのみ働いているのである。このことは薄らと国民は意識できるようになってはきたが、それでも個々の、たとえば児童相談所でのこととなると、まさかここでも役人たちが自分たちの利益のために「虐待」をでっちあげて、罪もない子や親を絶望のどん底にたたき落としているとは、想像できていない。 .
https://w.atwiki.jp/wiki6_piro/pages/3780.html
行きたいところ 日本一の一覧 日本百景 日本の灯台50選 日本の道100選 日本の音風景100選 日本の滝百選 日本の地質百選 名水百選 日本の棚田百選 美しい日本の歴史的風土100選 日本さくら名所100選 ヘリテージング100選 日本の歴史公園100選 日本の都市公園100選 忍野八海 山梨県忍野村 富士湧水の里水族館 山梨県忍野村 山中のハリモミ純林… 山梨県山中湖村 内野浅間神社… 忍野村 ツーリング案 東伊豆 1泊2日ツーリング案 奥多摩キャンプツーリング案 飛騨ツーリング案 しまなみ・とびしまツーリング案 佐渡ヶ島ツーリング案 石垣島・西表島ツーリング案… 2018年8月諏訪・松本家族旅行 別所温泉旅行案 松本電車旅行案 見所 より大きな地図で 行きたいところ を表示 大間(青森県大間町) 大内宿(福島県下郷町) 前沢曲家集落(福島県) mainimg.jpg 日光金屋ホテル(栃木県日光市) 明治6年創業のクラシックホテル。泊まりたい。 http //www.kanayahotel.co.jp/nkh/ 野田の町並みとキッコーマンの工場見学(千葉県野田市) 16s.jpg イルカウォッチング(4月~6月)(千葉県銚子市) http //www.irukanet.com/choshi/02_dolphin1.htm# 伊豆大島(東京都大島町) jokogumo神楽坂店 東京都新宿区神楽坂6-22 雑貨屋さん。 http //www.jokogumo.jp/ http //imai88.jp/pages/project/onyoku_pages/fuku2.htmlより ふくの湯 東京都文京区 デザイナーズ銭湯。 HERZ本店 東京都渋谷区神宮前5-46-16 地下1階 www.herz-bag.jp/… 革鞄 浅草オレンジ通り商店街 東京都台東区 ベッ甲イソガイなど伝統工芸のお店がある。 高幡不動… 東京都日野市 東芝未来科学館 神奈川県川崎市 http //toshiba-mirai-kagakukan.jp/ 大弛峠(山梨県山梨市) おいしい学校 山梨県北杜市 蓬莱橋(静岡県島田市) 大井川にかかる、世界一長い木造歩道橋 ビーナスライン(長野県茅野市) 松本(長野県松本市) 郡上八幡(岐阜県郡上市) 下呂温泉(岐阜県下呂市) 渥美半島(愛知県) カレーのチャンピオン… あめの俵屋 金沢くらしの博物館 美山(京都府南丹市) 伊根(京都府与謝郡伊根町) 天橋立(京都府宮津市) 大分県別府市 いちのいで会館 海地獄 白池地獄 聴潮閣 朝見浄水場 京都大学理学部付属地球熱学研究施設 大分県日田市 桜滝 黒神埋没鳥居 有村溶岩展望所 湯の平展望所 橋牟礼川遺跡 開聞岳 竜宮神社 枚聞神社 西大山駅 鹿児島市役所本庁舎本館 鹿児島市中央公民館 鹿児島県政記念館 鹿児島県教育会館… 全都道府県走破 大阪府 分割日本縦断 北海道 苫小牧~函館 青森県 大間~八戸 宮城県 栗原~松島 長野県・岐阜県 南木曽~美濃加茂 京都府・大阪府・兵庫県 京都~日生 広島県 尾道~広島 熊本県・鹿児島県 熊本~日置 分割日本一周 北海道 稚内空港-紋別空港 北海道 中標津空港-尾岱沼-根室-納沙布岬-厚岸-釧路 北海道 襟裳岬 北海道 渡島半島 青森県 津軽半島、下北半島 秋田県 秋田 ~ 新潟県 上越 岩手県 釜石 ~ 宮城県 松島 静岡県 浜松 ~ 三重県 熊野 福井県 大野 ~ 京都府 ~ 兵庫県 香住 和歌山県 和歌山 ~ 大阪府 ~ 兵庫県 ~ 岡山県 岡山 広島県 尾道~広島 島根県 温泉津 ~ 岡山県 下関 福岡県 門司 ~ 大分県 中津 大分県 大分 ~ 宮崎県 宮崎 鹿児島県 志布志 ~ 佐多岬 ~ 桜島 鹿児島県 日置 ~ 熊本県 熊本 #tumblr
https://w.atwiki.jp/share-world/pages/85.html
-成汰くんの十二月二十五日- 今日は十二月二十五日。 つまりはクリスマスだ。 俺は別にクリスマスは嫌いじゃない。クリスチャンではないが、今の日本にそういう縛りはないと思うし、完全にイベントとして定着しているわけだから楽しむのは構わないと思う。朝から母さんとキッチンに立って、前日辺りから仕込んだ食材を片づけながら、一日かけてローストチキンに始まりケーキまでのクリスマスメニューを作るのは俺としては至福の一日と言って過言じゃない。 友人の男共に言わせると、クリスマスイブ及びクリスマスはカップルたちが街に溢れる恐怖の日であり、果てない破滅志向と殺意を押し殺す日らしい。 馬鹿か。 まぁ、そんなことを言うと本気で殺されるので口にはしないが。 とにかく俺はクリスマスは嫌いじゃない。 ただしそれは、去年までの話だ。 時間がない。 「今年の成汰はまた一段とすごいな」 「そうでしょうー。ますます手際が良くなって、いつでもお嫁に行けるわぁ」 「お婿の間違いじゃないの、母さん。まぁ、兄さんだとリアルにお嫁に行けそうだけど」 後ろからの家族の声に対応している暇すら惜しい。 「やっぱり会社に持っていくケーキを頼んだのはまずかったな。今年も好評だったんだが」 「そうよねぇ、ご近所さんからも『また来年も』って言ってもらっちゃったくらいだもの」 「そろそろ材料費以外にも払ってもらったほうがいいんじゃないの? さすがに」 いや、それは別に構わないんだ。 父さんの部署の人たちのためにケーキを焼くのも、ご近所の奥さんたちからリクエストを受けるのも、弟の創汰の部活仲間にたかられるもの嫌いじゃない。前からやってきたことだし、また次もと言われるのはかなり嬉しい褒め言葉だ。 それは、それだけなら、本当によかった。 「それにしても気合いが入ってるなぁ、成汰は」 「やっぱりお友達のためだと違うのねぇ。もう来年はうちに来てもらったらいいんじゃないかしらー」 「いや、それは兄さんが――」 俺は思わず手を止めて、創汰の台詞をぶった切って割り込んだ。 「それはねぇっ! 例え何があろうとも、例えクリスマスにケーキ二十ホール焼くことになったとしても、あいつらには絶対にウチの敷居を跨がせねぇからっ!!」 ピーッ。 キッチンタイマーが鳴る。いかん、ローストチキンが焦げる。 俺にとっての十二月二十五日は去年からその意味を変えた。 家族や家族に関わる人たちのためにささやかながら腕をふるったクリスマスはもう来ない。 何故なら―― From 染谷 『今年の中家のクリスマスパーティーは去年同様二十六日開催です! さあみんな、ナリリンにリクエストだ! ちなみに染谷はチョコのブッシュドノエルとピザ希望!』 From 海藤 『よっしゃぁ! 今年も来た来たクリスマス! カイトウは苺のタルトが食べたいぜ! それからぁ、唐揚げとポテトとー、あとローストチキン! 丸ごと!!』 From 佐竹 『えー、私はローストビーフのほうがいいなー。あ、でもチキンも捨てがたいかも。ナリリンー、お願い』 From 牧 『やっぱりケーキは苺ショートじゃないのー? クリーム系のパスタとか食べたいかも』 From 北内 『みんな太るよ! ナリリン、サラダも忘れないでねー。チョコフォンデュの道具あるからフルーツとかでやらない?』 From 花沢 『わ、楽しそう。この前作ってくれたミネストローネがまた食べたいんだけど。ナリ君無理はしないでね』 おいちょっと待て。 クリスマスパーティーを開くことも彼氏持ちが多いから翌日の二十六日にやるのもまぁ一応よしとしてやるが、俺へのリクエストってなんだ。さり気なく面倒なメニューが多いし、ローストチキン丸ごととか、明らかチキンもビーフも要求してるお願いだし、食べたいかもとか薄ぼんやりした言い方のわりに作っていかなかったら絶対なんか言うし、忘れないでねってリクエストっていうかすでに確定だし、唯一の気遣いの言葉もリクエストが地味に手間のかかるメニューで台無しじゃねぇかよおい。 ちなみに学科のメーリスに回ったメールの件数はこの五倍では済まない。 なんかもうなんなんだとしか言いようがない。 お前らなんで俺が用意するのが前提なんだとか、普通分担して持ち寄るもんじゃねぇのかとか、食い物担当が俺一人であと酒担当が六人って明らかに割合おかしいだろうがとか、お前ら中学校家庭科だし一応生物学上は女子だろうがとか、ってかほんとに何様だよお前ら!とか、 言えていたら今頃こんな風にキッチンで死闘を繰り広げてはいない。 「兄さん、コーヒー飲む?」 チョコクリームのコーティングが終わったブッシュドノエルを冷蔵庫に仕舞ったところで、創汰がキッチンに顔を出した。手には湯気の立つ珈琲。香りが鼻をくすぐり、 「もらう」 思わず速攻で手を伸ばした。 シンクの縁に寄りかかりながらゆっくりとカップを傾けると、急に空腹を感じた。朝飯もそぞろに昼抜きで夕方となると胃の中は空っぽだったが、終始漂う料理の香りに空腹を忘れていたらしい。 「創汰、もう一区切りつけたら晩飯の用意するから待ってろ」 「わかった」 今日の家でのクリスマスの用意はほぼ終わっている。まぁ、明日のパーティー用の料理はまだ完成には遠いが、メニューの半分以上は今日のものと同じでまとめて作っているからなんとか明日には間に合うだろう。さすがに手持ちで運べる量ではないから父さんに車を借りないといけないのだが、料理に被害が出ないように運転するとなるとそれなりに余裕を持って家を出なければならない。それに、見てるこっちが酔いそうなほどの速度でアルコールを摂取するあいつらのために水や薬なんかも用意して行かないと。 「……兄さん」 「ん?」 創汰に呼ばれ、思考を切って視線を上げる。 キッチンの入口でコーヒーを飲む俺を見ていた創汰はいきなりふっと笑って、 「楽しそうだね」 「!」 驚く俺を置いてリビングへと戻っていった。フローリングを駆ける足音はあっという間に聞こえなくなる。 いつもなら家の中を走るなと一言言うところなのだが、今は手に持ったカップを落とさないように指先に力を込めるので精一杯だった。 (あいつ。我が弟ながら爽やかに爆弾を落としていきやがって) 中学二年の弟の精神年齢の高さを感じつつ、吐いた溜め息の代わりに残りの珈琲を流し込んだ。 (……そんなに楽しそうか?) そこまで顔に出る性質ではないはずだが、それでもわかるのが家族ということだろうか。 シンクにカップを下げて、働き通しで凝った肩を軽く回す。弟に爽やかな笑顔で図星を突かれたのは痛いが、今はへこんでいる暇はない。 さて、次はタルトを焼くか。 いくら人数の少ない学科で、相手が女子ばかりで邪険にできないからといってわざわざ応えてやる必要はないんじゃないかと言う人もいる。実際に俺自身そう思うこともあるし、むしろそう思うことばかりなのだが、結局応えてやってしまうのだ。 費やされる労力や時間、アレな話だが金すらも、食べた相手の顔や言葉と天秤にかければ、俺の中では負けるのだ。どうしても後者を選んでしまうのだ。 例えその相手があいつらだとしても。 結局俺は、箱を開けた瞬間のあいつらの歓声と顔、『美味しい』というたった一言で、まぁそれなりに満足してしまうのだ。 恥ずかしくて誰にも言えないし、言う気もないが。 From 染谷 『今日はありがとう、ナリリン! 次はバレンタインデーですね! 染谷はチョコタルト!』 From 海藤 『フォンダンショコラ!!』 From 佐竹 『シフォンケーキかなー』 From 牧 『やっぱりガトーショコラでしょう』 From 北内 『いやいややっぱり生チョコだって!』 From 花沢 『みんな気が早いよ。チョコレートってオレンジピールと合うんだよね、ナリ君』 From 崇永 『お前らいい加減にしろよっ!』
https://w.atwiki.jp/monosepia/pages/2614.html
いろいろブログ&サイト【TOP】 政治家ブログをここで網羅するつもりはなく、たまたま見かけたサイトのみ載せています。政治家のサイトを知りたい方はこちらでお探し下さい。↓ 政治家ブログランキング ブログおよびツイッター 「芸能人ブログ全集」より 政治家(国会議員)人気ランキング 「ブログ村」より エレログ国政版 "ele-log(エレログ)" 選挙情報専門サイトElection.がお送りする政治家ブログ 政治家ツイッター〔人気順〕 「有名人ツイッターランキング」より ■ 今日の菅直人 菅直人首相のニュースを集めて紹介します。 ■ 今日の蓮舫(R4) 蓮舫(R4)関連のニュースを集めて紹介します。 ■ 石破しげる 衆議院議員(自民党) ▲ 石破しげる Blog ■ 河野太郎公式サイト 衆議院議員神奈川15区選出(自民党) ■ 小池ゆりこ 衆議院議員東京10区選出(自民党) ▲ 小池百合子 @ecoyuri〔twitter〕 ■ ムネオ日記 鈴木宗男氏のweb日記 ■ 金子洋一「エコノミスト・ブログ」 現在、民主党参議院議員(神奈川県選出)、生活支援カウンセリング協会理事長。これまでに、経済企画庁(現・内閣府)。OECD科学技術産業局エコノミスト。青山学院大学大学院国際マネジメント研究科兼任講師などを経る ■ 鳩cafe:(内閣総理大臣 鳩山由紀夫公式ブログ) ■ 今日の”つっちー” 土屋敬之ホームページ ■ いちご煮日記 藤川優里オフィシャルブログ ■ 白しんくんホームページ 民主党参議院議員 ■ 天木直人のブログ イラク戦争に反対し事実上の解雇処分を受け外務省を離れる。 ■ 城内実オフィシャルフサイト 国家国民のために信念を貫く男 (※ センター試験に外国語として朝鮮語を導入、在日に点数で大きく下駄をはかせた城内実議員。-BBの覚醒記録より) ■ ふじた幸久-WEB SITE ■ たむたむの自民党VS民主党 自民党と民主党に絡むさまざまな事象を、多角的な観点からわかりやすく解説しています。 ■ 有田芳生の『酔醒漫録』 ジャーナリスト、新党日本の副代表として挑む日本の根本的転換。その眼から見た日々の雑感を綴ります。「酔醒」は中国の「梁書」にある「酒を飲むこと能はざるも、賓客交遊を好む。終日獻酬して、其の酔醒を同にす」から取りました。 ▲ 民主党参議院議員:有田芳生 ▲ 有田芳生 @aritayoshifu〔twitter〕 ■ 山本一太の「気分はいつも直滑降」 政治は「不条理」な世界だ。まっすぐ進もうと思っても、時には妥協や迂回を余儀なくされる。それでも常に正面から突き抜ける気概を持ち続けたい。少なくとも「気分はいつも直滑降」でいこう! ■ 西東京市市議会議員 保谷清子のつれづれ日記 西東京市市議会議員の保谷清子です。 ちからを合わせてみんなで豊かに暮らせる西東京市を創りましょう。 ■ 伊豆下田発 たさかとみよ です! 下田市議会副議長たさかとみよのブログです。 ページTopへ
https://w.atwiki.jp/gundamfamily/pages/5329.html
981 名前:通常の名無しさんの3倍 :2011/04/24(日) 17 44 40.14 ID ??? そういえば今ハロ持ってる人間って何人だ? アムロ、カミーユ、バナージ、ウッソ、ラクス、ミーア、ロックオン×2、ネーナだけ? 982 名前:通常の名無しさんの3倍 :2011/04/24(日) 17 47 06.42 ID ??? クレア「いやいやいや、ハロと言ったら私たちだよー」 アムロ「使用許諾取り消せないだろうか……」 984 名前:通常の名無しさんの3倍 :2011/04/24(日) 20 16 36.06 ID ??? 沙慈も持ってるよな 彼女と喧嘩した時は慰めてくれる空気の読めるハロを 985 名前:通常の名無しさんの3倍 :2011/04/24(日) 20 52 26.13 ID ??? 984 え、ハロってオナ◯ール機能まで(ry 986 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 02 38.46 ID ??? 985 アムロ「そんな機能つけた覚えないぞー!!」 987 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 08 22.48 ID ??? アフランシ「お話を伺いに」 アムロ「帰れ!!」 988 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 11 20.25 ID ??? デカルト「私は使う暇も無くt」 アムロ「なら来るな!」 989 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 11 53.40 ID ??? イアン「つけたかどうかはともかく、わしの手に掛かれば改造は簡単だ」 アムロ「つけたのか!?」 イアン「……ニヤリ」 アムロ「何とか言え!!」 990 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 19 07.89 ID ??? ユーゼ○「それも私だ」 シン「絶対に違うだろ!」 アムロ「つーか元の世界へ帰れ!」 991 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 45 42.76 ID ??? 赤ハロ以外にもプトレマイオス内には沢山ハロがいるぜ カレル付きで ペットロボでなく半自律型のロボット扱いだからな アストナージ「それ全部くれ!!」 イアン「だが断る!」 992 名前:通常の名無しさんの3倍 :2011/04/24(日) 21 59 04.35 ID ??? 989 リンダ「貴方そんな、いかがわしい物なんかより…その…私が居るじゃない///」 993 名前:通常の名無しさんの3倍 :2011/04/24(日) 22 11 07.43 ID ??? 刹那「赤ハロだが、料理を温めるレンジ機能も付いているそうだ」 アムロ「それは便利だな…」 沙慈「食べた後に口から怪光線が出るのは困りますけど;」 ルイス「二時間ドラマが始まってすぐに、犯人を特定するのはカンベンして欲しい!!」 アムロ「それ、ハロじゃなくてバーローや!」 994 名前:通常の名無しさんの3倍 :2011/04/24(日) 22 15 07.23 ID ??? 某所のハロ四機「ハハハハ!(ヒェッヒェッヒェッヒェッ!)(ケケケケ!)(キキキキ!)」 オルバ「兄さん、この世界で聞こえるはずの無い声が聞こえるんだけど」 シャギア「気のせいだろう、今ハロの話題が出てるからて」 オルバ「そうだよね」 シャギア「そうだ。それとロランよ今月分の食費だ」っ砂糖袋(10㎏) ロラン「いえ、もう砂糖は腐るほどありますので結構です。タムラさんの様な事言いますけど塩が欲しいです」 995 名前:通常の名無しさんの3倍 :2011/04/24(日) 22 22 59.62 ID ??? カティ「誰がバーローだ小娘!」 ルイス「私が言ったんじゃありません!」 コーラ「お、大佐に言い返すとは中々やるなお前」 カティ「准将だ!」 コーラ「いてっ!すみません大佐ぁ!」 カティ「准将だ……そろそろ覚えてくれ……」 997 名前:通常の名無しさんの3倍 :2011/04/24(日) 22 24 51.32 ID ??? アムロ「ハァ…なんか突っ込みいれるのも疲れる…シンの苦労が分かる気がするよ」 ウッソ「女性に突っ込むのは得意なんだでしょ?アムロ兄さんだけに」 998 名前:通常の名無しさんの3倍 :2011/04/24(日) 22 33 29.79 ID ??? 997 シーマ「コウも突っ込むのは得意だよ。アタシにあんな極太で突っ込んでトドメに零距離射撃、凄いたらありゃしないよ」
https://w.atwiki.jp/k_46bs/pages/35.html
夏休み中の活動に関しての規定や注意事項などがごちゃごちゃ書かれたページです。実は重要です。 適当に読み流して・・・もらっちゃだめですね。まぁ一読しておいてくれると説明が省けるので読んどいてください。質問とかするにしてもこれを読んでからにしてください。よく読んで変なペナルティを課せられないように。(山本) 活動場所 ・屋外活動(美術係必読) このページのはるか下のほうにあるファイルを開いてみてください。活動場所がわかる学校の略地図の画像をうpしておきました。 ファイルを開けない人は開けた人に場所を教えてもらってください。 ファイルが開けた人はその地図を見ながら以下の説明を読んでください。 数字が振ってある場所の1~7、9,10が屋外活動場所です。パネル作業などペンキを使用する作業場です。ただし今年は校内塗装工事がおこなわれているので作業場所が少なくなることがあります。以下注意事項。 人工芝での作業は禁止です。 5~7の境界は白いレンガのラインを参考にしてください。人工芝にもっとも近い場所は使わないこと。 雨天時の活動場所は1~7です。 7月26~28日はパネル作業の場所が少ないので活動を避けたほうがいいです。 場所が足りない場合は時間制限や活動場所の共有をお願いされちゃうときもあります。 ペンキ作業のときは、地図上★印のところの水道だけを使用してください。校舎内の水道は絶対に使用しないこと。 桶に余ったペンキは水道脇のバケツのなかに捨ててください。水道には極力流さないこと。 ペンキ塗りをする際には必ず下に新聞紙、ブルーシートを敷いて作業しましょう。 8は塗装工事の作業員のテントが建っているため利用できません。 以上のことに違反した場合、ペナルティの対象となります。 ・屋内活動 使用できる部屋 高校普通教室棟・・・1-4~1-6、第二・第三選択教室、2-1 中学校舎・・・・・・2~4階の予備教室 ※今年は塗装工事があるので、日によっては上記の教室も使えないことがあります。特に7月22~25日は高校普通棟2階で塗装工事が行われるため、H2-1しか使用できません。 補足:部屋を開けたらすぐに鍵を返しに行ってください。 活動の流れ 1、活動開始報告 参団のその日の活動責任者は9:30になってから文準ルームに活動開始報告をしにいってください。 このときに、参団名・活動責任者名(参団の代表者としてその日の活動に責任を持つ人、正責任者・副責任者以外の者でもかまわないということ)・希望活動場所の3つを必ず言ってください。必要に応じて、 その日の文準日直が活動場所を割り当てます。 2、活動上の注意点 活動開始報告を終えたら作業を始めましょう。作業する際の注意点について。 器物損壊 教室内でのペンキ作業 教室の床、机、イスなどの汚損 資材の又貸し 新聞類を敷かないでのペンキ作業 無届活動 活動終了時刻を超えての活動(~15:30) その他文準が問題があると判断した行為 については大幅なペナルティの対象になりますので注意してください。まぁ常識の範囲でやれば大丈夫 だと思いますが。 3、後片付けと掃除 作業は活動終了時刻を遵守して、後片付けと掃除を始めてください。使った場所はきちんと元通りにし 文準から借りた資材は洗って返してください。 掃除開始が遅かったり不十分だったりするとペナルティがつきます。 4、活動終了報告 作業を終え、片付けも終わったら文準ルームに舞い戻って文準日直に活動終了報告を出しにきてく ださい。文準委員が使った場所の掃除状況を見に行き、OKがでたらおわりです。 パネル配布について パネル配布は7月21日(火)9:30から行います。 1)文準ルームにきて、開始報告を出す。自分たちに割り与えられたパネルの番号が書いてある紙やパ ネル確認用紙などをもらう。 2)自分たちのパネルをチェックし、確認用紙を貼る。 3)貼り終えたらルームに戻って終了報告を出す。 ちなみにうちの参団は大両1枚、大片3枚を確保しています。 ペンキ作業について(美術係必読) パネルのペンキ塗り作業は次のような順番で行われます。 1)文準ルームで申請量のうち必要な分量のペンキと、刷毛・ローラー・洗面桶などの必要な道具を受 け取ります。 2)ペンキを塗ります。その際必ず新聞類、ビニールシートを床に敷き、ペンキを床につけないように してください。 3)作業が終了したら借りた資材を返し、掃除用具を借りて使用した場所の床を掃除してください。 4)文準のチェックを受けて、OKが出れば掃除用具を返却して終了です。 ※・参団のパネルは指定の場所にまとめておいてください。 ・パネル作業ができるのは8月29日までです。以後のパネル作業は認められませんのでそれまでにすべてのパネルを完成させてください。 印刷物について(お札係と杉谷必読) 基本的に通常サイズの紙は高校教員室の輪転機を使用し、許容される範囲で印刷してください。拡大太郎を使用する場合には所定の用紙を提出した上でこちらで印刷します。なお、拡大太郎の使用は制限する予定です。拡大太郎使用調査書は9月7日に配布されますが、使用許可書は9月17日に配布します。よって拡大太郎が使えるのは2学期に入ってからです。夏休み中の活動では模造紙に書くことしかでできません。・・・あ、自宅で印刷するつもりなら今の全無視でいいですよ?
https://w.atwiki.jp/mncorelay/pages/1126.html
日本のロックバンド「DEEN」の楽曲。 浮遊大陸?墜落直後のBGMで、物悲しげなオルゴールのみのMIDIである。 舞台となったEDENの原作者のコテハンはまさしくこのバンドが由来であり、余程好きなのか別の過去作品でもこのバンドの楽曲が使用されている。 EDENは多数の市販JRPG(SFC時代、PS1時代が中心)でのBGMが使用されており、この楽曲はその中の有名な作品のメインテーマ兼CMソングとしても知られる。 名前 コメント すべてのコメントを見る
https://w.atwiki.jp/pictriver/pages/101.html
深いとことは PictRiverのカテゴリーのひとつ。 左側の真ん中の列に位置する特殊なカテゴリー。 PictRiverが流れていくうちに深いとこができた。相当深いように思われる。 一定の人とランダムにチャットすることができる。 スレッドを立てる際、パスワード入力の欄に入室してほしい人数を入力するとその人数のみが入室することができるようになる(人数の入力をしない場合は∞に入室することができる)。 また人数を満たさないうちでも、「締め切り」とテキストに入力すれば人数を締め切ることもできる。 「スレッドをつくる」というスレッドに入室すると自動的に「○○の●人部屋」と表記され、自分の部屋が一番下に作成される(入室人数は2〜3人でランダムに作成される)。 また、入室が締め切られた部屋は入室できる、カッパにしか見えなくなるので、過疎に見えてしまうことがある。 誰かのスレッドに入室した際、ランキングに登録した名前が表記される。 自動的に部屋が作成されていることもあり(入室人数は2〜3人のランダム)、「○人部屋」としか表記されていないものは自動的に作成されたもののようだ。 「スレッドをつくる」スレッドに間違えて入室してしまい、自分の部屋を作ってしまうカッパが多々いる。 現在は一番上部にランダムでスレッド名が表示される。 最終書き込みから約24時間で部屋は存在しないスレッドとなる(お気に入りに入れていてもスレッドは存在しないスレッドとなる)。 また、最近深いところに魚も集まってきたようだ。
https://w.atwiki.jp/83452/pages/11291.html
梓「あ、いえ……なんでもないです」 唯「アルバイト? ソープはダメだよ?」 梓「わ、わかってますよ! あんなとこもうごめんです!」 唯「思い出の場所だけどね」 梓「もうっ!!」 唯「ごみんごみん」 梓「それで、いろいろ求人広告みたりしてたんですけど」 唯「うーん……あずにゃんがバイトかー」 梓「……だ、だめですか? だめならもういいですけど」 唯「そうだよねー……外でないとねー」 梓「はい。外ではたらいて、お金入れて、今以上にもっと唯先輩のお役にたてれば」 唯「いや、そうじゃなくてさ。ほら、あずにゃんもここにきて一ヶ月」 唯「だいぶ落ち着いたし、そろそろ色々なことしたほうがいいかなって思ってたんだ」 梓「いろいろですか」 唯「うん。あずにゃんが楽しい生活を送れるようにってね」 唯「そりゃあ、このおうちで私だけの家政婦さんしてくれるのも嬉しいけどね」 唯「でも私はもっとあずにゃんに広い世界をしってほしいなって思ってさ」 梓「唯先輩……」 唯「だからあずにゃんがアルバイトしたいって言うなら止めないよ」 唯「習い事とか旅行とかほかにしたいことがあったらお金もだしてあげる」 唯「若いうちにいろんな経験してたくさん思い出つくって、二人で立派な大人になろうよ」 梓「唯先輩はとっくに大人です……私なんかとは比べ物にならないくらい」 唯「私はかなり特殊な世界だからね。まわりもみんな大人だよ。私自身はとても胸張って立派とは言えないけど」 梓「それでも……唯先輩は大人です……すごく大きくて、遠く感じるときがあります」 唯「そっかなー」 梓「大人に……私もなれますか?」 唯「うん! アダルティーなあずにゃんを期待してるよ」 梓「そ、そういう意味じゃないでしょ!!」 唯「でも背はおっきくならないでね! 私いまのあずにゃんが抱き心地最高だとおもってるから」 梓「牛乳いっぱい飲んでやります」 唯「それで、バイトの目星はつけた?」 梓「はい、どうせなら接客をやろうかなと」 唯「度胸あるね。さすがソープにむぐががあいひゃいいひゃい」 梓「最低です」 唯「で、でで、どんな職種?」 梓「まだわかりません。この広告以外にも街中にでてたりするのでそっちも見てみようかと」 唯「働くようになったら通うよ」 梓「だめです。噂されちゃいます」 唯「あ、でも晩ご飯はちゃんとつくって待っててね?」 梓「はい。だからせめて夕方にはあがれるバイトにしようかと。ちょっと都合良すぎですかね」 唯「いやいや、バイトさがしがんばれー」 梓「あ、唯先輩。もうそろそろ行く時間っていってませんでした? マネージャーさんと駅で待ち合わせしてますよね?」 唯「おぉ! しまった。ついあずにゃんに夢中になってた。ごちそうさま!」 梓「いってらっしゃい唯先輩」 唯「ねー。いってきますのチュー」 梓「もうっ……チュ。これでいいですか」 唯「おっ、今日はしてくれた! 機嫌いいんだ」 梓「……むぅ」 唯「私がちゃんと帰ってきたから嬉しいんだよねー?」ナデナデナデナデ 梓「もぉ! 遅れますよ!」 唯「まぁこわぁい……ふふふ、いってきまーす!」 梓「ふふっ、お仕事がんばってくださいね」 ドタドタ ガチャン バタン 梓「……いっちゃった」 梓「今日は打ち合わせだけって言ってたから夜には帰って来るかな」 梓「あ、晩ご飯のリクエスト聞くの忘れてた」 梓「こういうときやっぱ携帯電話ほしいなーって思う」 梓「あ、バイトするなら買わないとだめかも」 梓「唯先輩買ってくれるかな? でも高いよね……」 梓「なんか唯先輩にはいろいろもらってばっかりだなー」 梓「結局服とか靴も買ってもらっちゃったし……」 梓「私、唯先輩に何かしてあげれてるのかな」 梓「料理は自身あるけど、それでもやっぱレストランのほうがおいしいし……」 梓「唯先輩って外でいいものたくさん食べてそう」 梓「だって話題沸騰中の歌手だよ。国民的スターだよ」 梓「私ってなんてちっぽけ……あぁ」 梓「……だめだめっ、がんばるって決めたもん」 梓「お買い物にいくついでに求人さがそう」 梓「あと、唯先輩のニューシングルも買わなきゃ」 梓「……そういえばなんで私にお小遣いくれるんだろう」 梓「月に一万円ももらっても使い道ないよ」 梓「……もらってばっかりの生活早くやめたい」 …… スーパー 梓「んー、こっちも安い……でもこれも食べたい……」 梓「……」キョロキョロ 梓「平日の昼間から買い物してる若い子なんて私くらいだよね」 梓「あーあ、何だと思われてるんだろう」 梓「まさか世紀のスターユイの家政婦とは思うまい」 梓「ふふふっ……あ、私いま気持ち悪い」 梓「んー、よし。お豆腐安いし今晩はマーボー豆腐にしよ」 梓「お昼は昨日の残りがあるから大丈夫」 梓「唯先輩はお肉多めがいいのかな」 梓「……そういえばスーパーのバイトってどうなんだろう」 梓「ここ、求人貼ってないかな……あっ、あった!」 梓「えっと……18歳以上。10時~18時まで!」 梓「……無理か」 梓「どうせなら楽しそうな仕事がいいな」 …… 梓「あ、求人張り紙……」 【田井中うどん】 接客、宅配従業員募集中 時給710円~ 学歴不問 年齢16歳以上 女性可 高校生不可 個人経営の働きやすくて楽しい職場です 交通費、まかない有り 制服貸与 梓「うどん屋……うどん屋かぁ……」 梓「私に似合うかなぁ……」 梓「ここにしよっかな」 梓「……よしここにしよ!」 梓「駅前かー。帰りにのぞいてみよう」 梓「早く働いて唯先輩に楽させなきゃ!!」 …… 梓「ここだ……な、なんか怖そう」 梓「やってるのかな……」 梓「ええいっ」 梓「ごめんくださーぃ……」 梓「……?」 梓「ごめんくださ」 「はいはいはい、いらっしゃいいらっしゃい!」 梓「うわっ!」 「お一人様で? 奥の席あいてますよー」 梓「いや、その……私、求人をみて……きました」 「あ、アルバイト希望の人? おーやった! じゃああがってあがって」 梓「は、はい」 「そこ座って。荷物ここ。あ、買い物帰り? 水飲む?」 「はじめまして私はここの店長やってる田井中律。よろしくねん」 梓「あ、中野梓と申します……」 律「かたくならなくていいってー!」 梓「はぁ……」 梓(苦手なタイプかも……) 律「で、お嬢ちゃんおいくつ?」 梓「あの、一応17歳です……」 律「17!? まじ? 中学生かと思った」 梓「……」 律「うそうそ冗談! てか中学生雇えねーし! あははっ」 梓「それで……」 律「17ってことは高校生? うち高校生は」 梓「いえ、高校には……いってません」 律「じゃあいまフリーター?」 梓「あの……家政婦です……家政婦!」 律「ふーん、なかなか変わってるね。うちでほんとにやってける?」 梓「接客したりするんですよね?」 律「そうそう。慣れれば簡単だよー」 梓「はい……大丈夫です」 律「うーん、ちょっと表情がかたいかな。うちは元気が売りだから」 梓「頑張ります」 律「よし、採用!」 梓「はやっ」 律「がんばるっていったじゃん! ならそれでよし!」 梓「あの、ほんとにいいんですか? こんなどこの馬の骨ともしらない……」 律「いいんじゃない? 悪い人には見えないし」 梓「あ、ありがとうございます。嬉しいです」 律「人間いろいろあるって! はっは!」バシバシ 梓「いた……いたた」 律「じゃあ明日から早速いいかな? 人手たりなくて困ってたんだー」 梓「はい! よろしくおねがいします!」 律「うむ、良い返事だ梓」 梓「あ、梓……」 律「いい名前じゃん、梓。あ、私のことは律店長って呼んでくれ!」 梓「あ、わ、わかりました律店長!」 律「おっけい! じゃあ私まだ仕事あるから、また明日な!」 梓「はい!」 平沢家 梓「…………なんかあっというまに決まった」 梓「……やった。やったぁ……!」 梓「唯先輩、私アルバイト決まりましたっ!」 梓「うれしいなぁ……ちょっとガラ悪いけどいい人そうだったし」 梓「よーし、がんばるぞー!」 梓「晩ご飯つくろっ!!」 …… 梓「~~♪」ジュウジュウ 梓「~♪~♪」ジュージュー 梓「早く帰ってこないかなー早く帰ってこないかなー」 梓「んー、もう七時」 梓「~♪ ~~♪」 ガチャリ 梓「あっ!! 帰ってきた!」 「あずにゃ~んただいまああ~!!」 梓「はぁーい! おかえりなさーい!!」 「ちょっときてー」 梓「はいはい、行きますよっ」トコトコ 梓「はい、なんですか♪」 唯「えへ~、あずにゃんただいまー!」 梓「聞こえてましたよ。おかえりなさい!」 唯「あのねー」 梓「あ、おかえりのチューですか? だめですよっ! 唯「ほえ? してくれるの?」 梓「い、いえ……でなんですか?」 唯「あのねー」 梓「はい」 唯「あずにゃんに紹介したい人がいるんだー、でへへ」 梓「えっ……? 紹介……え?」 唯「いま外でまってるー」 梓「あの……いまなんて……」 唯「紹介したい人がいるんだー」 梓「……」フラッ 唯「あずにゃん? どったの?」 梓「……わ、わたしもう寝ます……」フラフラ 唯「えぇ!? なんで!」 梓「わ、わかんないんですか……ヒッグ」 唯「え? え? 泣いてるの? あずにゃん!」 梓「どうせ私なんて……私なんてただの家政婦……グス」 唯「ちょ、ちょっとまってよー。わけわかんない」 梓「私、馬鹿みたい……一人で舞い上がって……」 唯「なんかよくわからないけど……よしよし」ナデナデ 梓「やめてください! そういうのはっ!!」バッ 唯「あっ……」 梓「その紹介したいっていう大事な人にすればいいじゃないですか!!」 唯「あ、あずにゃん……っ」 梓「もうしらない!! 唯先輩のことなんかしらない!! あとはご勝手に!!」 唯「え? や、やだよー」 「ちょっと唯、なんかその子盛大に勘違いしてない?」 唯「あ、和ちゃん。ごめんね? はいってはいって」 梓「ふぇ……?」 和「はじめまして梓ちゃん。私唯のマネージャーやってる真鍋和です」 梓「ま、まね……?」 唯「だから紹介したいって言ったじゃん。あれ? マネージャーとは言わなかったか。てへっ」 梓「あっ……あっ……あああっ!! にゃあああっ!!」ボンッ 唯「おやおや。あずにゃんどうしたー真っ赤になって」 和「唯、なんとかしなさい」 梓「うわああっ!! すいませんすいません!! うわあああっ!! 恥ずかしっ!!」 唯「あぁー、嫉妬してくれたんだ。それで泣きそうだったのねーういヤツういヤツ」 梓「ち、ちがっ!!」 唯「そうなんでしょ……? 嬉しいなーあずにゃん」 梓「うぅ……」 唯「あ、和ちゃん! この子があずにゃん。中野梓ちゃん。私のお嫁さ」 梓「家政婦ですっ!!」 和「唯から耳にたこができるほど話は聞いてるわ。よろしくね梓ちゃん」 梓「は、はい! どうも先ほどはお見苦しいトコをおみせしました。すいません」 唯「かわいーかわいー」 梓「もうっ、からかわないでください!!」 和「ふふっ、ほんとに仲がいいのね。……ありがとう」 梓「え? お礼なんて、どうして」 和「これでも唯はね、梓ちゃんにであってからすっごく明るくなったのよ」 唯「そ、そうかなぁ……えへへ」 和「毎日毎日幸せそう。それはあなたのおかげ」 梓「そんな……私なんて……」 和「いいえ、長い付き合いだからわかるの」 梓「そうなんですか」 和「そう、とっても長いのよ……それでも私にはあの時の唯を笑顔にすることはできなかった」 唯「和ちゃん……」 和「すごいのね。梓ちゃん。ただそれを言いにきたの。邪魔したわね」 梓「いえっ、そんな……あ、せっかくですから晩ご飯一緒にいかがですか」 唯「あーそうだよー食べていきなってー」 和「うーん、遠慮しとくわ。私新婚さんに割って入るほど図太くないもの」 梓「し、新婚さん……?」 和「あら? 唯からはそう聞いてるけど」 唯「え? えへへー……えへへ和ちゃんなんで言っちゃうかなぁ」 和「?」 梓「ゆーいせんぱぁい?」 唯「こ、言葉のあやでして……許してぇ……」 和「でも一緒にお風呂はいったり寝たりもするんでしょ? なら実態は変わらないわ」 梓「ちょっと!! なんでそんなことまで!!!」 唯「の、和ちゃん……」 梓「唯先輩!」 和「もう帰るわね。それじゃあ」 唯「和ちゃんひどいよ~あずにゃんに嫌われちゃう~~」 和「唯は底なしらしいけど、その時はがんばってね」 梓「にゃ、なにを!!?」 唯「……むふ」 和「あと、唯。先週の放課後ソープ天国は経費じゃ落ちないから。それだけ伝えとくわ」 唯「ちょっと和ちゃん!!!?」 梓「…………は? 放課後何?」 梓「ソープ……? ……は?」 5
https://w.atwiki.jp/hogazurou/pages/89.html
CPLD Xilinx FPGA、CPLDの製造大手企業 WebPack xilinxのIEDです。個人的にはこのソフトの使い勝手はquatusにおとると 思っています。 WebPackの使い方 使った基板 起動 こんな画面。 File- New Projectを選ぶ。 画面がないところは全部nextでOK この画面に出会ったらこんな感じに入力。 上のほうは、使っているCPLDを選ぶ。 下は同じでOK。VHDLを選びます。 finish 右クリックでNew Sourceを選ぶ。 ファイル名を入れて VHDL Moduleを選ぶ。 finish 選択部分にしたのプログラムをコピーしてください。 テストプログラムを書いてみる。 entity hello is port( clk in std_logic; led_out out std_logic); attribute pin_assign string; attribute pin_assign of clk signal is "5"; attribute pin_assign of led_out signal is "3"; end hello; architecture Behavioral of hello is signal counter std_logic_vector(20 downto 0); begin process(clk) begin if clk event and clk= 1 then counter =counter+1; end if; end process; led_out =counter(20); end Behavioral; このプログラムは、ledを点滅させるプログラムになっている。使用している 発信子が8MHzでLEDは約250msで点滅する。 プログラムの説明 entity hello is entity ソースファイル名 is で port( clk in std_logic; クロックの入力 led_out out std_logic); ledをちかちかさせるピン attribute pin_assign string; attribute pin_assign of clk signal is "5"; 使用ピンの指定 attribute pin_assign of led_out signal is "3"; end hello; entity ソースファイル名 is と end ソースファイル名で囲んだなかに 使用するピンとその型を指定する。 またそれには port();内に 変数名 in or out 型;とい書き方をする。 たとえば clk in std_logic;の場合 clkという変数は外部からの入力がstd_logicという型で入る。 led_ou out std_logic;の場合 led_outという変数は内部で指定したものをstd_logicという型で出力する。 attribute pin assign string;に続いて attribute pin_assign of 変数名 signal is "使用ピン"; で変数が使う使用ピンを指定することができる。 ソフトの中にGUIでpin指定できるGUIが入っていると思われるが 私が見つけたGUIは非常に使いにくかったので(たぶんもっと使いやすいのが どこかにあるはずだが)プログラムで指定してしまうことにした。 architecture Behavioral of ソースファイル名 is と end Behavioral;で囲まれた部分に動かしたい動作を書く。 signal counter std_logic_vector(20 downto 0);は、外部との接触を 持たない変数でportの中身と基本的に同じである。 std_logic_vectorはstd_logicの配列と同じ働きをする。 変数を作り終わったらbeginを書くその下に実際の動きが入ってくる。 process(clk)は時間別に処理したいときに書く。これを書かない場合は、 同じ時間に処理される。(並列処理)process()括弧の中身は、portで指定した もので内部で使うものを書く。 if文はクロックが動いてhighになったら処理をすると言うもの。 個人的にここはQuartusに勝っていると思う。 プログラムのコンパイルが終わるとこの画面になる。 マクロ、レジスタ、ピンなどの使用率が表示される。 また右のpic listをクリックするとどのピン配置が表示され プログラム中でのPIN配置とハード側のピン配置の確認ができる。 右下のImportant Designを開き、図と同じところを選ぶ。 右クリックしてRUNする。 これでプログラムに文法的なエラーがないか確認する。全体をコンパイルすると 時間がかかるため、この操作を入れておくと作業時間が短縮できる。 OKだったら次はFITで同じ作業でRUNする。このそふとでは、コンパイルではなく フィットというらしい。これに成功すると、こんな画面が出る。 いろいろ見れるので見てみる。PIN配置とか使用率とかみれるから確認する。 再び右下から図のところでRUNする。なにか確認ダイアログが出るのでYESを選ぶ とこんなのが出る。ので、ハードをつないでから、下と同じところを選んでください。 つないでいるCPLDの名前が画面に出たら接続成功です。 さっき選んだところのすぐ下にある、Programを選ぶと書き込み完了です。 戻る