約 1,895,770 件
https://w.atwiki.jp/bemanilyrics/pages/1500.html
Pharaoh ★ Love / Des-ROW 日本語ver.(Des-ROW ft.中島愛) 絶え間なく流れている この刹那の中 わたしの愛は時を越える 忘れかけていたあの感覚が 今 身体の真によみがえってくる あなたの心とつながっていたい いのちが尽きるまで 時間を止めて 想いをとどめれば、 ふたたび出会う once again again I know you are returning. and fighting, for our love returning to my arms. so hold me tight. 私の愛しい大切な人 そのやさしさに触れられるなら
https://w.atwiki.jp/hmiku/pages/8951.html
【登録タグ N チータスまつお 初音ミク 曲】 作詞:チータスまつお 作曲:チータスまつお 編曲:チータスまつお 唄:初音ミク 曲紹介 歌詞はニコ生で皆で考えました!(作者コメ転載) 歌詞は色々と汚染されてるが、曲に関しては爽やかな変拍子ロックサウンドになっている。 タイトルを逆から読んでは…ダメ、ゼッタイ。 ギターやアレンジ・ミックスなどは 糞田舎P が手掛けている。 可愛いサムネは チータスまつお氏 の自作。 サウンドが同じで歌詞だけが違う「Regrets night」と同時リリース。 歌詞 たべちゃいたいくらい あの子がおいしそう(ごっくん…) 白くて細い腕 視線がぶつかった(ごっつん…) どうしようじょ あの子の 潤んだ瞳(め) そらせない どうしようじょ あの子と 手をつなぎ 歩きたい あの子の側には 頭撫でる 父親が 近づけない あと少し 触れてみたいだけなのに あの子の あどけない (´゚Д゚`)(かお)はまるで人形 白くて丸い頬 触りたいと思った(さわさわ…) どうしようじょ あの子の 厚い唇 触れてみたい どうしようじょ あの子と 厚い耳たぶ 舐めてみたい あの子の側には 手を繋ぐ 母親が 近づけない あと少し 掴んでみたいだけなのに どうしようじょ 後ろで 僕を見張る 警察が どうしようじょ あの子と 話してみたいだけなのに(ざわ…ざわ…) ようじんしながら あの子の もとへ 急ぐ うしろへ 近づく そロリ そロリ 忍ばせて じぶんでも わかるよ 鼓動が早くなっていく よろけて 倒れそう くラリ くラリ 君の元へ あなたと病院 小児科行こうか… コメント どうしようじょは流行るww -- 名無しさん (2010-03-06 20 30 36) どうしようじょ・・・ -- 名無しさん (2010-03-06 20 44 02) 名前 コメント
https://w.atwiki.jp/matome-tera/pages/349.html
ホッピー ◆ILOLmxWqyA 【嫁と俺の】逃げられ寸前男の駆け込み寺278【送別会】(※279) 795 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 10 50 今相談いいですか?昼休みの間だけなので、突然落ちるかもしれませんが。 797 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 13 26 ありがとうございます。まずテンプレから。 【相談用テンプレ】 ◆現在の状況 先週の金曜日妻が出て行って、実家にいる。土日に妻の実家へ行ったが会えなかった。 ◆最終的にどうしたいか 戻ってきてほしい ◆相談者の年齢・職業・年収(手取り額も) 37歳・会社員・手取りは25~30万位。ボーナス年2回(基本2か月分)。 ◆嫁の年齢・職業・年収(手取り額も) 30歳・主婦・なし (妊娠中のため。その前はパートで自分の小遣い程度の収入はあった) ◆家賃・住宅ローンの状況 家賃6万8000円。住宅ローンなし。 ◆貯金額 妻に任せているので詳しくは知らないが、200~300万位はあるらしい。(お互いの独身時代のも含めて ) ◆借金額と借金の理由 なし ◆結婚年数 4年目 ◆親と同居かどうか 別居(車で20分くらい) ◆子供の人数・年齢・性別 現在一人目妊娠中(6ヵ月) ◆相談者と嫁の離婚歴、あればその理由 離婚歴なし ◆離婚危機の原因の詳細(長くなっても思い付くものを全て書いて下さい) 長いので次に書きます。 798 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 14 23 ◆離婚危機の原因の詳細(長くなっても思い付くものを全て書いて下さい) 残業が多く、付き合いの飲み会も多いため、帰宅が遅い。以前から「残業は仕方ないとしても、飲み会 は減らせない?」と言われていた。妻も飲むことが好きだが、子どもを作ると決めてから酒断ちをして いて、イライラしているようだった。飲み会は多い時で月に7,8回ある。残業は3月以降毎日。帰宅は残 業だけだと11時、12時くらい。飲み会があると1時、2時くらいになる。 俺は、交流のためにも自分の体調が悪くない限り、飲み会は断らない。結婚前、妻も同じ職場で飲み会 にもよく参加していたし、「飲み会で親睦が深まることだってあるしね」と理解のある方だと思ってい た。(俺と妻は飲み会で親しくなった) 直接の原因として考えられるのは、先日、帰るメールをした後に突然上司と取引先の人と一緒に軽く飲 みに行くことが決まり、帰るのが遅くなったことだと思う。「ごめん、突然飲み会になった。上司と取 引先と。帰りは少し遅くなる」ってメールした。 翌朝、口をきいてくれなくて「上司と取引先との飲み会だよ?突然だったけど、こればっかりは断れな いでしょ?」と言ったら、「こればっかりはってことは、今までのは断ろうと思えば断れたの?誘われ たら必ず行ってさ。独身時代と同じノリじゃだめなんだよ。子どもも生まれるのに」と言われた。確か にそうなんだけど、正論過ぎてむっときたのと、こっちにも事情があるのにっていう思いが顔に出てし まったらしく、「もういい」と言われた。 次に今日までの経過を書きます。 799 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 16 11 すみません。メモ帳からコピペしたら改行が変になってました。 これも変になってたらすみません。 会社に行って、昼休みにメールが来て「あなたを待っている夜がつらいです。待たなくても済むように 、子どもが生まれて落ち着くまで実家で過ごします」と書いてあった。あわてて電話したが、留守電に なってしまう。メールも送り続けるが、返信なし。実家の固定電話にもかけたが、義母に「今少し興奮 しているようだから、もう少し時間もらえる?」と言われ取り次いでもらえない。その日も残業の後軽 く飲む約束をしてたが、断った。(断ったこともメールした) 土日使って妻の実家に行った。しかし、あちらのご両親が出てきて「○○(妻)は“落ち着くまで待っ ていたほしい”そうだ。“今顔を合わせると喚き散らしてしまいそうで怖い”と言っている」と言われ 、妻には会えなかった。「私たちも、○○の心配事をゆっくり聞いてみようと思う。必ず報告するから 、しばらく様子をみてもらえない?急にこんなことになってごめんなさい」と、ご両親に謝られてしま った。宿泊先は伝えたが、携帯にも宿泊先にも連絡はなかった。 昨日も今日も、携帯に電話・メール、妻実家へ電話などをしているが、相変わらず妻とはコンタクトが 取れない。 800 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 18 09 改行へんですね。読みにくくてすみません。 801 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 18 50 ホッピー嫁は自分が酒呑めないからホッピーに当たってるだけだろ。 妊娠でヒステリー気味になってるんだな。 離婚する気はなさそうだから、ほっとけばいんじゃね? ちょっと早い里帰りだと思えば? 802 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 19 34 後だしあるかもしれないけど、 「妊婦は野生動物っ、保護しろ」てテンプレ読んだか? 電話&メールしつこいのはマイナスだと思う 803 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 20 17 801 811 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 24 04 すみません。 801 ヒステリー気味なのは、おそらくそうなんだと思います。 だから、里帰りで落ち着くならそれもいいと思うんですが、 「子供は二人で産もう」と約束していました。 子どもが生まれる瞬間、妻のそばにいたいです。 802 テンプレ読みました。自分の「保護」が足りなかったのかな…とも思いました。 しかし、具体的にどうすればよかったのかわかりません。 休日くらいは一緒にいたいですし、家の中のことも妻に代わってやりたくて、 休日出勤をやめた結果、残業が増えました。 自分としては、休日に家事をやって妻を休ませることが「保護」というか、 いたわりになるのでは?と思っていたのですが、足りなかったのでしょうか。 804 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 20 21 でも減らせるなら減らすに越したことはないかもな 子供が生まれればほっぴーも変わるんじゃないか? 子供>>>>>大事なw付き合い 805 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 21 29 妊娠中の不安定な時期は出来る限り一緒に居てあげるのが基本 月に7,8回飲みに行って午前様なんて常識外 806 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 21 37 妊娠中は1人だけ呑み会に行くホッピーにイライラし 出産後も自分は育児してるのに1人だけ呑み会に行くホッピーにイライラし もうホッピーは呑み会には行けないと思ったほうがいいだろ。 そういう嫁だったんだ。あきらめろ。 自分だけ息抜きができないからムカツクと思ってるんだよ、嫁は。 833 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 32 40 804 確かに「w」が付いてしまうような大事な付き合いなんだと思います。 でも、そういう付き合いを断らないキャラでずっと来たので、 急に帰ると周りの目がどんな風に変わるか分からず、怖い気もします。 子どもが生まれれば、子供の世話を理由に断れる回数も増えるのではないかと 考えていました。 805 常識外でしたか…。 妻は、「飲み会も仕事の延長なこともあるしね」と比較的理解のある方でした。 それに甘えていたところはあったかもしれません。 806 なんだか、他の人に妻をそういう風に言われると「そんなことない!」って 言いたくなってしまいますね…。 でも、イライラがあったのは確かだと思います。けど、あまり嫁を悪く言いたくない気持ちがあります。 807 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 22 44 ホッピーは「戻ってきてもらいたい」なら 「上司と取引先との飲み会だよ?突然だったけど、こればっかりは断れないでしょ?」と言ったら、「こればっかりはってことは、今までのは断ろうと思えば断れたの?誘われ たら必ず行ってさ。独身時代と同じノリじゃだめなんだよ。子どもも生まれるのに」 に対して「ごめん、確かにそうだったこれからは注意する」とアタマを下げる。 ただ、今はカッカしてるのであと1日して向かえにいけ。(で1日考えた結果が↑だということで) 808 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 23 25 妊娠六ヶ月だっけ? これから出産にむけていろいろ本格的に準備しなきゃいけない時期だよな そんな時に旦那が毎日午前様に近いと確かにいらいらするかもな というか一緒に住んでいる意味がねーよwwwwww 809 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 23 26 妊娠後の土日はどう過ごしてた? 810 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 23 29 世の中には、ホッピーとホッピー嫁のような関係でも 女のほうがホッピーの立場に理解を示し 午前様でもそこまでわめかない人もいる。 ホッピー嫁は「はずれ」だったんだな。 857 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 45 27 807 それがすぐに言えればよかったんですね…。 妻にちゃきちゃきっと言われて、ぐっと言葉に詰まってしまったんです。 今からでも遅くないなら、その言葉、お借りして伝えようと思います。 808 土日の休みは、それこそずっと一緒にいるんですけれど…。 確かに、夫不在の家って感じになっているかもしれませんね。 残業は避けられなくて、残業しているとその流れで「じゃぁ、一杯」って誘われるんです。 断り方をもっと訓練しておけばよかったと思います。 809 食事の用意(と言っても、手の込んだものは作れませんが)や、掃除、洗濯など、 家事一通りをやります。妊娠初期は体調がすぐれないことも多かったので、 たまった家事を一気にやることもありました。 ただ、不慣れなので不十分なところもたくさんあったと思います。 810 「はずれ」とか言われると…。悪く言わないでくれ!って思ってしまいます。 理解のある奥様達は、どんなふうに思っているんでしょうね…。 812 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 24 20 妊娠中だけは飲みに行くの控えればいいんじゃね 出産後もそんないけないだろうけどwww 上司だろうと同僚だろうと既婚ならわかってくれるだろ 813 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 25 05 810 その逆で嫁が妊娠したら飲み会控えて早く帰る旦那もいる 嫁からみればホッピーが「はずれ」だよ 814 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 25 37 >子どもが生まれる瞬間、妻のそばにいたいです。 仕事しろよ。男にできることはそれだろ。 脳内お花の人間は「そばにいることが大事」って言うだろうけどな。 815 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 25 42 妻が妊娠中の時期に、残業が毎日で飲みが月に7,8回はちょっと多いだろ。 873 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 51 52 812 その上司の人が、妻の妊娠中・出産後も飲んでいた人で。 同僚の中には「奥さんいいの?」と聞いてくれる人もいたんですが、 俺が大丈夫って言い続けてたばかりに、最近はそれもなくなりました。 妻ももともと同じ職場で、飲み会にはよく参加していたので、 それを知っている人は、理解があるんだな、と思っているようです。 813 俺が「はずれ」。妻が「はずれ」と言われるより、気分がマシです。 妻にとってみれば、絶対そうですよね。 どうしよう…。どんなふうに謝ればいいんだろう…。 814 立ち会い出産は妻の希望でして、「手を握っててほしい」と。 俺にできることなんて何もないんでしょうけれど、 せめて妻の希望通りに、と思っていたのですが、今の妻にその気持ちはないんでしょうね…。 815 そう思います。けど、休日出勤しなくなっただけましというか…。どっちもどっちですけど。 移動の多い職場で、俺が今の課で一番長くいるもので、自然と仕事が集まってくるんです。 どうにかしたいと思ってはいるんですけれど。 816 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 26 39 813 じゃあお互いがお互いにとって「はずれ」なんだから この結婚は「はずれ」だったんだなw 817 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 26 59 残業が休日出勤ゼロの引き替えなら、それは仕方ないんじゃないか? 飲み会は少し減らした方がいいと思うが。 周囲がムカつくような笑顔で「嫁が妊娠中なんで~」とか バカっぽく言って抜け出すとかw 818 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 27 38 飲み会≠残業 819 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/03(火) 12 27 49 嫁妊娠中なんでwwサーセンwwwで飲みの回数減らせないか? 883 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/03(火) 12 56 58 もう少しで昼休みが終わるので、このレスでいったん落ちます。すみません。 816 はずれでも、どうにか続けていきたいです。 妻、とても素敵な女性なんですよ。面白いし。 いつも和ませてくれたんです。 すみません。なんか、目がうるんできました。 817 やってみたいです。それ。 今日やってみようかな…。俺らしくないかもしれませんが。 818 そうですよね。別のものなんですけど…。 なんだか流れでそうなってしまうんですよね…。 819 いい意味でヘラヘラしてみるのはいいかもしれません。 ちょっとがんばってやってみようと思います。 すみませんが、これでいったん落ちます。 【パールーン?】逃げられ寸前男の駆け込み寺280【ポイドン】 505 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/04(水) 12 22 53 昨日はお世話になりました。家に帰って、皆さんのレスを読みました。 もっと叩かれるかと思ったんですが、親身にレスをしていただいて、 本当にありがとうございました。恥ずかしながら少し泣いてしまいました。 それと、全レスすみませんでした。 まとめを読んだ時に、こまめにレスをしていた人がいたのでその方が いいのかと思ってました。 しつこく連絡すると逆効果というレスにはっとしました。 軽くパニックになっていて、過剰に連絡していたかもしれません。 先ほど妻の携帯の留守電に、メッセージを残しました。 一日一回くらいに連絡を控えたいと思います。(3~5回くらいしてました) 里帰り出産は、もともと選択肢にあったので(第三候補でしたが)、妻が望めば そうしてもらいます。その前に仲直りできるでしょうか…。 妻の妊娠を理由にすると、妻が怒ってるととられるのではないか?という懸念はありました。 けど、俺がそばにいたいからということを、上司や同僚に伝わるようにしなければ思いました。 そのために、へらへら・でれでれするのもいいかな、と。 「かっこ悪いから断りたくない」というレスには、ズキッとしました。 なんだかんだ言い訳して正当化しても、結局そういうことなんだと思います。 かっこ悪くてもいいので断ります。妻がいないのは寂しいです。心配です。 妻はもっと寂しくて不安だったんだと、今更気づきました。馬鹿です俺。 いい年して何やってんだろう。 職場は男ばっかりです。女性は派遣社員の方2人だけです。定時で帰ります。 未婚も既婚も離婚も、子どもあり・なしもいろいろですが、よく飲みに行くのは 既婚子供2人の上司と、既婚子供なしの先輩と、未婚の後輩です。 509 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/04(水) 12 36 23 出来れば向こうから連絡来るまで待つ。でなければ 一日一回ってのもう少し我慢して、2、3日に一回にしたほうが良いな。 510 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/04(水) 12 37 19 ホッピーは相変わらず自分本位だな。 向こうから連絡来るの待ったらどうだ? 一日一回でも嫁には多いと感じるかも知れないぞ? 511 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/04(水) 12 39 40 里帰り出産、第三候補て お前、生まれてもほぼ手伝えないだろ 512 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/04(水) 12 43 44 一日一回でも多いですか…。 わかりました。ここは俺が我慢すべきですよね。 「落ち着いたら連絡がほしい」ということは、先ほど(10時くらい?) に留守電に残しました。 513 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/04(水) 12 50 50 出産のことなんですが、妻の当初の希望で 1.全室個室の産婦人科 2.家の近くの産婦人科 3.里帰り だったんです。 立会出産については、はじめは「恥ずかしいから嫌」って言ってたんですけど、 最近「もしホッピーが嫌じゃなかったら立ち会ってほしい」に変わりました。 530 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/05(木) 12 17 09 すみません。またお邪魔します。 今は連絡待ちなので状況に変化はありませんが、俺の勘違いか、書き方が悪くて よくわからなくなっている部分があったようなので、それを書きます。 >里帰りが第三候補ということについて 妻の実家は小さな町なのですが、一応産科のある病院があるんです。 助産師が何人かいるそうです。 こちらの病院で受けてた定期診察の診断書(?)を貰って八ヶ月くらいから 実家で過ごし、その病院で産む…って計画です。 これは妻の母からの提案です。「私(妻の母)が産後の世話をすれば 気が楽じゃない?ここらの子はみんなそうしてるみたいだよ」と。 途中で病院を変えるのはリスクも大きいのでは?ということもありますし、 妻が「母さんの少し押し付けがましいところとか、何かと干渉したがるところとかが 苦手」と言っていて、帰省もあまりしたがらないほどなので、第三候補とはいえ、 ほぼありえない選択肢でした。 帰省をしたがらないのに、それでも妻が実家に行ったのは、俺と距離を置くためには それしかなかったからだと思います。 ダカルさんみたいにどんと構えて待てるような、でかい男になりたいです。 妻に安心して子どもを迎えられる環境を作りたいです。がんばります。 531 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/05(木) 12 34 09 妊娠期間中に休日くらいしか関わり持たなくて 出産の瞬間に立ち会っただけで「二人で産んだ」事にはならないと思う 奥さんの最近の体調とか直近の胎児の様子とか検診の結果思い出せるか? 532 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/05(木) 12 41 53 531 体調は良さそうだった、胎児の様子や検診は順調だと聞いている…くらいです。 俺、ダメですね。恥ずかしいです。 ちゃんと妻や胎児のこと考えてるつもりだったんですけど。独りよがりだったんだ…。 535 名前: 名無しさん@お腹いっぱい。 [sage] 投稿日: 2008/06/05(木) 12 51 28 532 気付いたならいいさ 奥さんはずっと一人で夫と胎児の父親の帰りを待ってたんだ 今、一人で寂しいだろ?早く帰ってきて欲しいと思うだろ? 胎児が何センチで何グラムなんて覚えて無くてもいいけど もう少し今の奥さんを見てやれ 社会人でバリバリ働いていた時の女性とは別物なんだからさ 元気に見えてもある日突然急変もあるんだし…って家だけどなwwww 検診行ったきり帰ってこなかっただけなんだが というわけで、妊婦さん大事にして 妊娠期間は二人で過ごす最後の期間なんだから大切に過ごすといいよ 536 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/05(木) 12 58 55 535 すみません。辛いことを…。 飲み会はもちろんですが、できれば残業も減らしたいです。 ダメもとで上司に相談してみます。 このままではいけないとは思ってたんですけど、ずるずるやってきたせいで 妻を不安にさせてしまって…。 すみません。昼休み終わります。落ちます。 【みんなで】報告寺8【待ってます】 646 名前: ホッピー ◆ILOLmxWqyA [sage] 投稿日: 2008/06/17(火) 00 13 46 「逃げられ~」でお世話になりました。ありがとうございました。 8日土曜日に妻の実家に行って、翌日に妻と帰ってきました。 いろいろ落ち着いたのでご報告だけでもと思いまして。 7日金曜日に、妻からメールがありました。 「実家に帰った日、飲み会を断ったといわれて申し訳なくなった。 (※その日は「もう少し(仕事)やってくんで」みたいな理由で断りました) 子どもが産まれた後のことを考えると、ものすごく不安になった。夜泣きする子を あやしながらあなたの帰りを待つんだろうかとか、いろいろ考えているうちに、 衝動的に家を出てしまった」 だいたいこのような内容でした。本当に申し訳なくて、涙が出てしまいました。 妻の実家で二人でいろいろ話をしました。 飲み会の厳選はもちろんだけど、残業も上司と相談してみるということを話しました。 (今現在実行しています。残業は週3を目安に減らしています。もう少し減らしたいです) 妻は「私のわがままのせいだね。ごめんね」と言ってました。 そのあたりは「前からそうしたかったけど、うまく実行できなかっただけ」と伝えました。 たった一週間だったのですが、とても長く感じました。 皆さんのおかげで解決しました。ありがとうございました。 俺、もっとがんばります。懲りたというのもあるんですが、妻を不安にさせるのはもう嫌です。 「実家に残って産むのもいいと思うよ。先輩お母さんがいるんだし」という話はしました。 妻は「ホッピーが変わる気がなければそれも考えたけど、やっぱり母さんの干渉には疲れる。 早く帰りたい(苦笑)」と言ってました。妻が実家にいる間、義母は 「お産のときには、母さんがそばにいてあげるから(立ち会うから)」 「布おむつの方がいいよね。いっぱい用意してあげるから」 「おんぶ紐も作ろうか?あんたが好きそうな和柄の生地で」 「名前は母さんがいくつか考えてあげるから」…などなど、妻に言っていたそうです。 妻が拒否し、義父がたしなめて、実行には移さずにいたようですが、帰り際に 義母から茶封筒が渡され、中を見てみると子どもの名前リストでした。 (男女20個ずつくらいありました)妻は「orz」状態でした。
https://w.atwiki.jp/rohan_coralreef/pages/42.html
格上狩場、対人、ボス狩り 全てにおいて必須職 精神プリーストがいなければ、ボス狩りいけません。 ○Lv60 http //rohan.redpotion.jp/simulator.php?sel1=elf_healer sel2=elf_priest sel3=59 e_heal=4 e_divine_aura=1 e_cure_confusion=1 e_cure_silence=1 e_magical_blow=5 e_detect=1 e_teleport=1 e_revive=3 e_kill_time=1 e_magic_barrier=5 e_group_heal=4 e_p_blue_fauntain=5 e_p_all_mighty=5 e_p_dispel=1 e_p_g_mental_blow=5 e_p_erase=1 e_p_mareas_battle=1 e_p_mareas_grace=5 e_p_mareas_mind=1 e_p_g_ins_heal=4 e_p_soul_meditation=1 ○Lv90 http //rohan.redpotion.jp/simulator.php?sel1=elf_healer sel2=elf_priest sel3=89 e_heal=5 e_divine_aura=1 e_cure_confusion=1 e_cure_silence=1 e_magical_blow=5 e_detect=1 e_teleport=1 e_revive=5 e_kill_time=1 e_magic_barrier=5 e_group_heal=5 e_p_blue_fauntain=5 e_p_all_mighty=5 e_p_dispel=1 e_p_g_mental_blow=5 e_p_erase=1 e_p_mareas_battle=1 e_p_mareas_grace=5 e_p_mareas_mind=5 e_p_g_ins_heal=5 e_p_soul_meditation=1 e_p_perfect_healing=1 e_p_obligation=5 e_p_incarnation=5 e_net_bind=1 e_divine_beam=5 e_p_mind_air=5 ○Lv99 http //rohan.redpotion.jp/simulator.php?sel1=elf_healer sel2=elf_priest sel3=98 e_heal=5 e_divine_aura=1 e_cure_confusion=1 e_cure_silence=1 e_magical_blow=5 e_detect=5 e_teleport=1 e_revive=5 e_kill_time=1 e_magic_barrier=5 e_group_heal=5 e_p_blue_fauntain=5 e_p_all_mighty=5 e_p_dispel=1 e_p_g_mental_blow=5 e_p_erase=1 e_p_mareas_battle=1 e_p_mareas_grace=5 e_p_mareas_mind=5 e_p_g_ins_heal=5 e_p_soul_meditation=1 e_p_perfect_healing=1 e_p_obligation=5 e_p_incarnation=5 e_net_bind=1 e_divine_beam=5 e_p_mind_air=5 e_p_staff_mastery=1 e_p_vacuum=5 e_mind_blast=1
https://w.atwiki.jp/anime_wiki/pages/765.html
ここを編集 ■ツインビー ウィンビーの1/8パニック キャラクターデザイン ■キディ・グレイド メカニック作画監督(濱川修二郎名義) 2 ■巌窟王 作画監督 8 15 21 ■SoltyRei ソルティレイ 企画原案(GONZOと共同) キャラクターデザイン 総作画監督 エンディングアニメーション(絵コンテ・演出・作画監督) 作画監督 OP 24 ■ああっ女神さまっ それぞれの翼 作画監督 18 ■ウィッチブレイド 作画監督 14 作画監督補佐 9(小・山) ■ロミオ×ジュリエット 作画監督(濱川修二郎名義) 23(石・日) ■ぼくらの 作画監督 13 19 ■ロザリオとバンパイア 作画監督 1(斎) 7(海・片・蘇・阿) ■ブラスレイター 作画監督 8 ■ドルアーガの塔 ~the Aegis of URUK~ 作画監督(3話は濱川修二郎名義) 3 7 11(日・牧) ■コードギアス 反逆のルルーシュR2 作監補佐 16(吉・小) ■真マジンガー 衝撃!Z編 作画監督 4(服) ■ゆとりちゃん #13・17・20・21 原画(濱川修二郎名義) ■ONE PIECE エピソードオブルフィ~ハンドアイランドの冒険~ 作画監督(濱川修二郎名義 浜津武広、加野晃、東出太、松井章、吉井弘幸、中谷友紀子と共同) ■citrus 総作画監督補佐(濱川修二郎名義) 5(吉・張) ■女子高生の無駄づかい 作画監督(濱川修二郎名義) 4(柳・白・佐・加・中・y) 5(吉・山・能・南・中・p・k) ■異種族レビュアーズ メインアニメーター(濱川修二郎名義 中野典克と共同) #4・9 原画(加藤洋人と共同 第二原画あり) ■聖剣学院の魔剣使い(濱川修二郎名義) プロダクションデザイナー 作画監督補佐 1(中・鶴・L・紺・山・武・キ) ■関連タイトル Blu-ray 異種族レビュアーズ 1 "アロエ"オリジナルフィギュア付き完全数量限定版 Blu-ray 「SoltyRei」全話いっき見®ブルーレイ rakuten_design= slide ;rakuten_affiliateId= 053df7e0.7c451bd1.0c852203.190c5695 ;rakuten_items= ctsmatch ;rakuten_genreId=0;rakuten_size= 468x160 ;rakuten_target= _blank ;rakuten_theme= gray ;rakuten_border= on ;rakuten_auto_mode= on ;rakuten_genre_title= off ;rakuten_recommend= on ; 随時更新! pixivFANBOX アニメ@wiki ご支援お待ちしています! ムック本&画集新刊/個人画集新刊/新作Blu-ray単巻/新作Blu-ray DVD-BOX アニメ原画集全リスト スタッフインタビューwebリンク集 最新登録アイテム Switch ゼルダの伝説 Tears of the Kingdom Switch 世界樹の迷宮Ⅰ・Ⅱ・Ⅲ HD REMASTER Switch ピクミン 4 大友克洋 Animation AKIRA Layouts Key Frames 2 小説 機動戦士ガンダム 水星の魔女 1 ONE PIECE FILM REDデラックス・リミテッド・エディション 4K ULTRA HD Blu-ray Blu-ray 劇場版 ソードアート・オンライン -プログレッシブ- 冥き夕闇のスケルツォ 完全生産限定版 Blu-ray 映画『ゆるキャン△』 Blu-ray 【コレクターズ版】 Blu-ray ウマ娘 プリティーダービー 4th EVENT SPECIAL DREAMERS!! Blu-ray 天地無用!GXP パラダイス始動編 Blu-ray第1巻 特装版 天地無用!魎皇鬼 第伍期 Blu-ray SET 「GS美神」全話いっき見ブルーレイ Blu-ray ソードアート・オンライン -フルダイブ- メーカー特典:「イベントビジュアル使用A3クリアポスター」付 ラブライブ!虹ヶ咲学園スクールアイドル同好会 5th Live! 虹が咲く場所 Blu-ray Memorial BOX 宇宙戦艦ヤマト2202 愛の戦士たち Blu-ray BOX 特装限定版 地球へ… Blu-ray Disc BOX 完全生産限定版 神風怪盗ジャンヌ Complete Blu-ray BOX HUNTER×HUNTER ハンター試験編・ゾルディック家編Blu-ray BOX BLEACH Blu-ray Disc BOX 破面篇セレクション1+過去篇 完全生産限定版 MAZINGER THE MOVIE 1973-1976 4Kリマスター版 アニメ・ゲームのロゴデザイン シン・仮面ライダー 音楽集 テレビマガジン特別編集 仮面ライダー 完全版 EPISODE No.1~No.98 MOVIE リスアニ!Vol.50.5 ぼっち・ざ・ろっく!号デラックスエディション ヤマノススメ Next Summit アニメガイド おもいでビヨリ アニメ「魔入りました!入間くん」オフィシャルファンブック 『超時空要塞マクロス』パッケージアート集 CLAMP PREMIUM COLLECTION X 1 トーマの心臓 プレミアムエディション パズル ドラゴンズ 10th Anniversary Art Works はんざわかおり こみっくがーるず画集 ~あばばーさりー!~ あすぱら画集 すいみゃ Art Works trim polka-トリムポルカ- つぐもも裏 超!限界突破イラスト&激!すじ供養漫画集 開田裕治ウルトラマンシリーズ画集 井澤詩織1st写真集 mascotte 鬼頭明里写真集 my pace 内田真礼 1st photobook 「まあやドキ」 進藤あまね1st写真集 翠~Midori~ 声優 宮村優子 対談集 アスカライソジ 三石琴乃 ことのは 亀田祥倫アートワークス 100% 庵野秀明責任編集 仮面ライダー 資料写真集 1971-1973 金子雄司アニメーション背景美術画集 タローマン・クロニクル ラブライブ!サンシャイン!! Find Our 沼津~Aqoursのいる風景~ 機動戦士ガンダム 逆襲のシャア 友の会[復刻版] 梅津泰臣 KISS AND CRY 資料集 安彦良和 マイ・バック・ページズ 『機動戦士ガンダム ククルス・ドアンの島』編 氷川竜介 日本アニメの革新 歴史の転換点となった変化の構造分析 Blu-ray THE IDOLM@STER CINDERELLA GIRLS 10th Anniversary Celebration Animation ETERNITY MEMORIES Blu-ray おいら宇宙の探鉱夫 ブルーレイ版 Blu-ray 映画 バクテン!! 完全生産限定版 アイカツ! 10th STORY ~未来へのSTARWAY~ Blu-ray BOX 初回生産限定版 はたらく細胞 Blu-ray Disc BOX 完全生産限定版 Blu-ray 長靴をはいた猫 3作品収録 Blu-ray わんぱく王子の大蛇退治 Blu-ray 魔道祖師 完結編 完全生産限定版 魔道祖師Q Blu-ray Disc BOX 完全生産限定盤 にじよん あにめーしょん Blu-ray BOX 【特装限定版】 Blu-ray 鋼の錬金術師 完結編 プレミアム・エディション Blu-ray付き やはりゲームでも俺の青春ラブコメはまちがっている。完 限定版【同梱物】オリジナルアニメ Blu-ray「だから、思春期は終わらずに、青春は続いていく。」
https://w.atwiki.jp/dmori/pages/73.html
VCSから波形ファイルを出力する概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 VCSから波形ファイルを出力する 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 ///////////////////////////////////// // 波形出力 // ///////////////////////////////////// initial begin // .fsdb file (verdi) // +vcsd -P ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/vcsd.tab \ // ${NOVAS_HOME}/share/PLI/vcsd_latest/LINUX/pli.a \ // +define+FSDB_ON `ifdef FSDB_ON $fsdbDumpfile("wave.fsdb"); $fsdbDumpvars(0,top); `endif // .vpd file (dve) // -debug_pp +define+VPD_ON `ifdef VPD_ON $vcdplusfile ("wave.vpd" ); $vcdpluson(); `endif end (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/prima/pages/495.html
Blogs on ヨハン・ボータ #blogsearch News on ヨハン・ボータ 先月のピカイチ 来月のイチオシ:ピカイチ筆者が選ぶ、ヴェルディの名舞台ベスト3(後編) - 毎日新聞 竣工150周年記念!ウィーン国立歌劇場150周年記念DVDボックス(11枚組DVD) - TOWER RECORDS ONLINE - TOWER RECORDS ONLINE レパートリー リヒャルト・ワーグナー ジュゼッペ・ヴェルディ [部分編集] リヒャルト・ワーグナー ローエングリンローエングリン ワルキューレジークムント ジュゼッペ・ヴェルディ アイーダラダメス オテロオテロ Last Update 2020/05/13 19 06ページ先頭へ
https://w.atwiki.jp/bemanilyrics/pages/1308.html
He aloha oe Honolulu Loco Boy He nani ku u ipo, ku u lei Honolulu my sweet boy Aia i ka nani o Waikiki Hale kipa o nā malihihi, ho i mai Ho okipa ia mai ke aloha poina ole He aloha oe Honolulu Loco Boy Auhea wale ana oe Honolulu my sweet boy Ha ina ia mai ana kapu ana la Auhea wale ana oe Honolulu Loco Boy Honolulu my sweet boy Honolulu Loco Boy 【訳】 大好きなHonolulu Loco Boy 素敵な私の恋人、私のレイHonolulu my sweet boy ここは美しいワイキキ 訪れる人を迎える家がある、さあいらっしゃい アロハ溢れる歓待を忘れることができない 大好きなHonolulu Loco Boy あなたはどこにいるの?Honolulu my sweet boy これで私の歌は終わります あなたはどこにいるの?Honolulu Loco Boy Honolulu my sweet boy Honolulu Loco Boy
https://w.atwiki.jp/wiki4_drawing/pages/24.html
基本情報 発売日 不明、誰か追記希望。確か90年代 価格 315円 対応芯径 0.3~0.9 カラーバリエーション 赤青黄緑黒(クリヤーカラー) 重量・バランス 超軽量、ほぼ中心に重心 入手難易度 パイロット製品を扱う店ならほぼどこでも 特徴 クリップ取外し可 レビュー 書きやすい、安い、どこでも売ってるという牛丼のようなシャープ。MPマニアじゃなくても持ってる人が多い。その軽さと重量バランスは廉価版Graph1000のように思う。だけど使っているうちに炭素粉が軸の中に…( A`)
https://w.atwiki.jp/linemu_server/pages/40.html
GM's Soliloquy 実はGM.みんなが面白かったと言うOrc鯖も9p鯖もやったことありません 9pに似た鯖でしたら某鯖管からの誘いで少し入ってみましたが実際公開はされませんでした ソース・データ提供すると言われましたがその時は全く鯖管理に興味ありませんでした 話を戻して・・・ とりあえずこの二つはやったことないので面白いかもわからないし、目指してる訳でもありません なのでOrc鯖や9p鯖を求めてるのならハッキリ言うとLinemu鯖は全く違うと思います この二つの鯖ではこれがあったとか言われても先に言っておきますが対応出来ません また、PvP重視を目的にされるのもこれまたLinemu鯖ではなく他の特化した鯖をおすすめします ちょくちょく某BBSで名前が出てきますが20-30人ぐらい来てもらえれば満足です 10人でも、5人でも、1人でも楽しんでくれる人が居れば嬉しいです クローズに応募頂いた数は合計30名でした 平日だったこともあり、メールが届いてない等原因不明で入れない人も居ましたが 実際ログインされたのは履歴を見たところ合計18人ぐらいで夜はいつも15人ぐらい入ってくれました クローズテスト応募者・参加者には本当に感謝しています それではまた、オープンテストで会いましょう! 毎日HP見に来て、BBSにも要望等コメントくれてる方ありがとうございます。