約 3,869,117 件
https://w.atwiki.jp/techsure/pages/34.html
このページはhttp //www.vgleaks.com/durango-cpu-overview/からの引用です 作業中・・・ Durango CPU Overview TheDurangoCPU brings a host of modern micro-architectural performance features to console development. With Durango, a familiar instruction set architecture and high performance silicon mean developers can focus effort on content and features, not micro-optimization. The trend towards more parallel power continues in this hardware; so, an effective strategy for multi-core computing is more important than ever. Architectural Overview The Durango CPU is structured as two modules. A module contains four x64 cores, each running a single thread at 1.6 GHz. Each core contains a 32 KB instruction cache (I-cache) and a 32 KB data cache (D-cache), and the 4 cores in each module share a 2 MB level 2 (L2) cache. In total, the modules have 8 hardware threads and 4 MB of L2. The architecture is little-endian. Four cores communicate with the module’s L2 via the L2 Interface (L2I), and with the other module and the rest of the system (including main RAM) via the Core Communication Interface (CCI) and the North Bridge. Caches The caches can be summarized as shown in the following table. Cache Policy Ways Set Size Line Size Sharing L1 I Read only 2 256 64 bytes Dedicated to 1 core L1 D Write-allocate, write-back 8 64 64 bytes Dedicated to 1 core L2 Write-allocate, write-back, inclusive 16 2048 64 bytes Shared by module The 4 MB of L2 cache is split into two parts, one in each module. On an L2 miss from one module, the hardware checks if the required line is resident in the other module—either in its L2 only, or any of its cores’ L1 caches. Checking and retrieving data from the other module’s caches is quicker than fetching it from main memory, but this is still much slower than fetching it from the local L1 or L2. This makes choice of core and module very important for processes that share data. Memory access result Cycles Notes L1 hit 3 Required line is in this core’s L1 L2 hit 17 Required line is in this module’s L2 Remote L2 hit, remote L1 miss 100 Required line is in the other module’s L2 Remote L2 hit, remote L1 hit 120 Required line is in the other module’s L2 in remote core’s L1 Local L2 miss, remote L2 miss 144-160 Required line is not resident in any cache; load from memory Both L1 and L2 caches have hardware prefetchers that automatically predict the next line required, based on the stream of load/store addresses generated so far. The prefetchers can derive negative and positive strides from multiple address sequences, and can make a considerable difference to performance. While the x64 instruction set has explicit cache control instructions, in many situations the prefetcher removes the need to manually insert these. The Durango CPU does not support line or way locking in either L1 or L2, and has no L3 cache. This document does not cover memory paging or translation lookaside buffers (TLBs) on the cores. Instruction Set Architecture The cores execute the x64 instruction set (also known as x86-64 or AMD64); this instruction set will be familiar to developers working on AMD or Intel based architectures, including that of desktop computers running Windows. x64 is a 64-bit extension to 32-bit x86 , which is a complex instruction set computer (CISC) with register-memory, variable instruction length, and a long history of binary backward compatibility; that is, some instruction encodings have not changed since the 16-bit Intel 8086. The x64 architecture requires SSE2 support, and Visual Studio makes exclusive use of SSE instructions for all floating-point operations. x64 deprecates older instruction sets x87, Intel MMX®, and AMD 3DNow!®. x64 supports the following instruction set extensions SIMD/vector instructions SSE up to SSE4.2 (including SSSE3 for packing and SSE4a), and AVX F16C half-precision float conversion BMI bit shifting and manipulation AES+CLMULQDQ cryptographic function support XSAVE extended processor state save MOVBE byte swapping/permutation VEX prefixing Permits use of 256-bit operands in support of AVX instructions LOCK prefix modifies selected integer instructions to be system-wide atomic The cores do not support XOP, AVX2, or FMA3/4 (fused multiply-add). Architecturally, the cores each have sixteen 64-bit general purpose registers, eight 80-bit floating point registers, and sixteen 256-bit vector/SIMD registers. The 80-bit floating point registers are part of x87 legacy support. Performance Durango CPU cores run at 1.6 GHz; this is half the clock rate of theXbox 360’s cores. Because of this, it is tempting to assume that the Xbox 360’s cores might outperform Durango’s cores. However, this is emphatically not true, for the reasons described in the following sections. Sub-ISA Parallelism and Micro-Operations Like most recent high-performance x64 processors, the cores do not execute the x64 instruction set natively; instead, internally instructions are decoded into micro-operations, which the processor executes. This translation provides opportunities to parallelize beyond traditional superscalar execution. Durango CPU cores have dual x64 instruction decoders, so they can decode two instructions per cycle. On average, an x86 instruction is converted to 1.7 micro-operations, and many common x64 instructions are converted to 1 micro-operation. In the right conditions, the processor can simultaneously issue six micro-operations a load, a store, two ALU, and two vector floating point. The core has corresponding pipelines two identical 64-bit ALU pipelines, two 128-bit vector float pipelines (one with float multiply, one with float add), one load pipeline, and one store pipeline. A core can retire 2 micro-operations a cycle. Out of Order Execution Xbox 360 CPU cores execute in-order (also calledprogram order)the instructions in exactly the order the compiler laid them out. The Xbox 360 CPU has no opportunity to anticipate and avoid stalls caused by dependencies in the incoming instruction stream, and no compiler can eliminate all possible pipeline issues. In contrast, the Durango CPU cores execute fully out of order (OOO), also calleddata order, since execution order is determined by data dependencies. This means the processor is able, while executing a sequence of instructions, to re-order the micro-operations (notthe x64 instructions) via an internal 64-entry re-order buffer (ROB). This improves performance by Starting loads and stores as early as possible to avoid stalls. Executing instructions in data-dependency order. Fetching instructions from branch destination as soon as the branch address is resolved. Register Renaming A low count of registers can cause execution of instructions to be unnecessarily serialized. Similar in concept to translating x64 instructions to micro-operations, register names used in the x64 instruction stream are not used as is, but are instead renamed to point at entries in a large internal physical register file (PRF)—Durango cores have a 64-entry, 64-bit, general-purpose PRF and a 72-entry, 128-bit, vector float PRF. With renaming, the processor can disentangle serialization by register name alone, and to get better throughput, it can push independent micro-operations to earlier positions in the execution order via OOO. Speculative Execution Instruction streams can be regarded as being divided into basic blocks of non-branching code by branches. CPUs with deep pipelines execute basic blocks efficiently, but they face performance challenges around conditional branches. The simplest approach—stall until the conditional is determined and the branch direction is known—results in poor performance. The Durango CPU is able to fetch ahead and predict through multiple conditional branches and hold multiple basic blocks in its re-order buffer, effectively executing ahead through the code from predicted branch outcomes. This is made possible via the core tracking which registers in the PRF represent speculative results—that is, those from basic blocks that are not currently certain to be executed. Once a branch direction is determined, if the core predicted the branch direction correctly, results from that basic block are marked as valid. If the core mispredicted, speculative results (which may include many basic blocks) are discarded, and fetching and execution then begins from the correct address. Store Forwarding With in-order execution, a store to memory followed shortly by a load from the same location can cause a stall while the contents of memory (usually via an L1 line) are updated; the stall ensures that the load gets the correct result, rather than a stale value. On Xbox 360, this commonly encountered penalty is called Load-Hit-Store. On Durango, the cores have store-forwarding hardware to deal with this situation. This hardware monitors the load store queue, looking for memory accesses with the same size and address; when it finds a match, it can short-cut the store and subsequent load via the physical register file, and thereby avoid significant pipeline stalls. Highly Utilized Out of Order Load Store Engine A Durango core is able to drive its load store unit at around 80-90% capacity,even on typical code, because the combination of OOO, register renaming, and store forwarding massively reduces pipeline flushes and stalls, permitting highly effective use of L1 bandwidth. This improvement is partly the result of the load store unit being able to reorder independent memory accesses to avoid data hazards loads can be arbitrarily re-ordered, and stores may bypass loads, but stores cannot bypass other stores. By contrast, the load store hardware in the Xbox 360 is utilized at about 15% capacity on typical code, due to the many pipeline bubbles from in-order execution on the cost-reduced PowerPC cores. In conjunction with pipeline issues, the major factors in the Xbox 360’s throughput being as low as 0.2 instructions per cycle (IPC) are L1 miss, L2 miss, and waiting for data from memory. Cache Performance The Durango CPU uses 64-byte cache lines, which makes a process less likely to waste bandwidth loading unneeded data. On Xbox 360, ensuring effective use of cache lines for 128-byte lines can be tricky. While a Durango core’s L1 data cache is the same size as on Xbox 360, it is not shared between two hyper threads, and it has better set associativity. L2 is effectively three times the size, for each hardware thread, and it has better associativity 512 KB per hardware thread on Durango versus approximately 170 KB per hardware thread on Xbox 360. L1 and L2 bandwidth will be more efficiently utilized on an automatic basis via prefetching, smaller cache lines, register renaming, OOO, and store forwarding. Advanced Branch Predictor Effective branch prediction increases the likelihood that speculative execution will execute the right code path. The Durango CPU cores have an advanced dynamic branch predictor, able to predict up to 2 branches per cycle. Rather than a branchdirection, an actual branchaddressis predicted, meaning the instruction fetch unit can speculatively fetch instructions without waiting for resolution of the branch instruction dependencies and the resultant target. The first-level sparse predictor stores information about the branch target for the first two branches in a cache line, hashed by line address in 4 KB of storage. The sparse information also indicates if more than 2 branches are present in that line, and indexes into a second-level dense predictor, by using a 4-KB set-associative cache of prediction information for branches in 8-byte chunks. A branch target address calculator checks relative branch predictions as early as possible in the pipeline to permit discarding incorrectly fetched instructions. In addition, the prediction unit contains a 16-entry call/return stack and a 32-entry out-of-page address predictor.
https://w.atwiki.jp/potentelaser/pages/12.html
El puntero laser azul es una de las herramientas especiales que usted puede utilizar para hacer una presentación eficaz. Esta herramienta también se puede utilizar siempre que lo necesite para discutir algo y señalar los objetos durante la gira o incluso acampar. Desde la invención más reciente y la más reciente para un puntero láser es el que tiene la viga violeta azulado, más y más tiendas están ofreciendo y afirma que son una de las pocas tiendas que se especializa en eso. Hoy en día, el modelo más preferido de esta herramienta es el puntero láser blau de 1000 mw. Vamos a entender la razón por la cual este modelo específico es considerado uno de los mejores. Si usted está buscando un buen indicador del laser y no sabe dónde comprar Puntero laser , sugiero que usted lo consigue en línea para que usted se dará cuenta de que los vendedores en línea vende el artículo a un precio mucho más bajo en comparación con los centros comerciales. Ellos no tienen que pagar las cuotas de alquiler de tiendas, almacenes y personal de ventas es por eso que no es necesario poner altos recargos más. La utilización durante la presentación que usted puede traer a una mayor altura, ya que sin duda puede ayudar a cerrar una venta o incluso hacer usted mismo adecuado para otras oportunidades porque las personas que le pueden estar viendo durante la presentación que se pueden ver como una persona muy organizada y un empleado profesional que no quiere conformarse con menos, especialmente cuando se trata de algo de tu trabajo. La iluminación es un aspecto importante para cualquier escenario al aire libre y de emergencia. Muchos suministros de emergencia a menudo vienen con una linterna estándar. Sin embargo preparación consiste en tener las mejores herramientas disponibles. laser verde 10000mW En la industria de la iluminación linternas de puntero son conocidos por su durabilidad y fiabilidad. Una sola carga es capaz de durar varios días con el uso constante. La mayoría de los indicadores, tales como los punteros de color violeta vienen equipados con un cuerpo de aleación de titanio o aluminio, que es capaz de resistir el óxido y los golpes. El bien construir cuerpo puede resistir la penetración del agua, e incluso puede utilizar bajo el agua. La fiabilidad de un puntero sobre una linterna estándar es significativo. Las baterías recargables se suministran generalmente con una compra punteros violeta. Un paquete estándar, que incluye baterías adicionales y un solo cargador, son una práctica habitual. laser azul 30000mW El puntero enfocable es un modelo mucho más desarrollada de la pluma del indicador. Es capaz de crear un haz de luz larga, que puede ser utilizado para contar o observar estrellas particulares durante la noche. Los profesionales que se requieren mediciones precisas de ciertos objetos que no pueden ser alcanzados por medios estándar también lo utilizan. Hay muchos diferentes tipos de punteros enfocables y otros punteros a la venta, algunos que vienen con colores de iluminación ajustables. Los punteros láser enfocable se pueden equipar con diferentes tapas, que pueden alterar el patrón y la longitud de la viga de la luz. Un modelo más robusto más reciente conocido como el puntero láser impermeable está disponible en Internet, junto con otros indicadores del laser para la venta. Este modelo es capaz de soportar 25m de agua antes de que esté dañado y por lo tanto, es el regalo ideal para los entusiastas del aire libre.
https://w.atwiki.jp/kakis/pages/1810.html
raldura /// / ラルドゥラ メテの第8使徒 \ kako \ [ vest ] \ ラルドゥラ。メテの第8使徒 \ rapalx 15, mete sast 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 mete rudia viifa liiz falfa inea hyuuwe imul raldura genolpe iiluu elf walma okinis mete arxe raldura lolos /// / ラルドゥラ=ロロス \ sid 『カコ』に実在したralduraという人物名から \ [ vest ] \ ラルドゥラ \ [ kirs ] \ (⇔8|lis|mik─)第4期4代アルシェ第8使徒。マージ名「エメラルド」 \ rapalx 28, lantis sast 1 2 3 4 5 6 7 lantis ridia ovi kliiz gil fulmiia ryuu mel xikest dia vio lis gil ful dyu mel soom velm erva satii teeve beezel ilva part sast 8 9 10 11 12 13 14 lantis raldura zana paal milf faava ruuj seren xikest ral zan pal mik fav ruj ser soom velm erva satii teeve beezel ilva part sast 15 16 17 18 19 20 21 lantis rava umtona liine relezona jiil lina eketone xikest rav tan lin rez jil din ket soom velm erva satii teeve beezel ilva part sast 22 23 24 25 26 27 28 lantis enna ax neene pinena mat kunon kmiir xikest len lax nen pin mat kun mir soom velm erva satii teeve beezel ilva part
https://w.atwiki.jp/koshin_e/pages/33.html
Cos’è il KOSHIN-KO? Koshin-Ko è un’usanza tradizionale giapponese. Secondo la dottrina del Taoismo, che ebbe origine in Cina, l’essere umano ha tre bachi nel corpo uno nella testa, un altro negli organi interni ed un terzo nella parte inferiore del corpo. Questi bachi si chiamano SAN SHI. Si ritiene che questi SAN SHI causino mal di testa, mal di stomaco ed altri malanni, ma non solo, si pensa che essi escano dal nostro corpo nella notte del KOSHIN. In questa notte, che ha un ciclo di 60 notti, questi SAN SHI riferiscono le nostre cattive azioni al dio TENTEI. TENTEI, il dio che si ritiene presieda sulla nostra vita, decide la durata della nostra vita in base alle informazioni che riceve dai SAN SHI. Per evitare che i SAN SHI riferiscano i nostri atti al dio, bisogna rimanere svegli tutta la notte per cercare di vedere questi bachi, affinché non escano dai nostri corpi ciò si chiama il KOSHIN KO. Il Koshin Ko fu trasmesso dalla Cina durante l’ottavo secolo (era Nara) e veniva svolto dai nobili durante il dodicesimo secolo (era Heia). Dal 17esimo e per tutto il 19esimo secolo (era Edo) questa usanza si legò al Buddismo e allo Scintoismo e si diffuse tra il popolo in generale. Oggigiorno i resti del Koshin si possono vedere nei tumuli e nei campanili che si trovano in tutto il Giappone. TOKYO KOSHINDO è un gruppo di persone che pratica il Koshin Ko e sostiene la sua rinascita. TOKYO KOSHINDO Indirizzo Mukoujima 3-7-4 Sumida-ku, Tokyo Sito Web www.koshindo.com
https://w.atwiki.jp/mtgwiki/pages/1599.html
《Zuran Enchanter》 #whisper 生きている破裂の王笏/Disrupting Scepterのようなクリーチャー。 3マナで1枚を捨てさせる。 青クリーチャーなのに、能力はコストも含めてほとんど黒のようなのが興味深い。 自分のターンにしか起動できない能力なので、その面では打ち消し呪文を使う青とは相性は悪い。 が、中盤以降ある程度マナに余裕が生まれれば、相手が『打ち消しを切り抜けるために呪文をためる』ような行為を妨害できるので、使い道もないことはない。 似たクリーチャーにヴォーデイリアの催眠術師/Vodalian Hypnotistがある。能力起動のタイミングがソーサリー・タイミングになり、さらに制約された。 参考 ズアー/Zur カード個別評価:アイスエイジブロック
https://w.atwiki.jp/majicaa/pages/1652.html
,x≦三三三三≧x ___ /ニニニニニニニニ) /´ `\ /ニニニニニニニニニ}\ ’ , . /ニニ二二ノ ̄ ̄ ̄ ̄/二∧ { } /ニニ_/ ̄  ̄ ̄}=∧ ’ ノ {ニニ〕 ____ /ニ二} \________,/ ___ ___{ニニ} {__r──x) /´ ̄)}ニ} /´ `\ /´(\/) `\} __rぅテ \ {f赱ラ=ニ} ‘ , ,‘ }n/ \ \ ⌒¨¨´ /{ \. } } { } / (⌒\/}_/) } } ノ{ }. \ } } { } { \ } _ノ└tn{ .} } /(_{__}) } } ‘ ‘ { (_人) } } /ニ二二二\ } } \____/ 人 ∧ __冂ノ) ノ ノ\ }ニr======ヘニ∨l} /∨ }  ̄]厂 /}-\_ノ=ノニニニニニニ/ { { { ‐-=ニ/^}イ /\ニニニニニニニニ二/〉 . 人_{ 人=-‐ /}ー } } ー\ニニニニニ二二//} / ̄ ̄` ̄ /⌒\{ / /⌒\ }_} \ ‐-=ニ二ニ=- .//} /_____ ____人 ⌒\ -}\ \______,/ /}三二ニ=‐-く/´____ ___ \ \ -}ニ∧ }ニニニニニ}/´____ ______`\ \ \-}==∧ \______,/ /ニ二二二二}/´_ `\ ___`\ 〉 __,ノ}ニ二\ /=ニニニニニニ}/´___ `\ \/{ -}=ニニ二\_ _____/ニニニニニ二二}/´ / \\_____,ノ〉ニニニニニ} -{ニニニニニニ二二}  ̄ ̄ Zuran Enchanter (1)(青) クリーチャー — 人間(Human) ウィザード(Wizard) (2)(黒),(T):プレイヤー1人を対象とする。そのプレイヤーはカードを1枚捨てる。この能力は、あなたのターンの間にのみ起動できる。 1/1 名前 コメント
https://w.atwiki.jp/pathofexile12/pages/985.html
The EnduranceはDivination Cardの一種 交換可能アイテム 入手方法 関連リンク The Endurance 必要枚数 4枚 Vivid Crimson Jewel Crimson rubies, drops of vigour,flowing through my veins,flesh like coal, try to crush me,diamond is what remains. 交換可能アイテム "(5-7)% increased maximum Life"のModを持つCrimson Jewel。 変換先 Crimson Jewel 入手方法 このカードがドロップするエリア The Mines Level 1 • The Mines Level 2 • The Crystal Veins • The Tunnel • The Quarry • Crystal Ore Map • Dig Map • Excavation Map • Flooded Mine Map • Geode Map カード等のドロップ以外の入手方法 アイテム 必要数 備考 The Gambler 5 Stacked Deck 1 関連リンク 英wiki https //pathofexile.gamepedia.com/The_Endurance Divination Card
https://w.atwiki.jp/ndarkorbit/pages/20.html
Duranium資源へ精錬する為に必要となる機械 Duranium1個あたりの精錬必要資源数は以下の通り Endurium10個 Terbium20個 消費電力・時間当たりの精錬量・アップグレード必要資源 レベル 消費電力 Duranium精錬量 アップグレード必要資源 (即時)Uridium クレジット 時間 Prometium Endurium Terbium 1 16 110 750 8,250 1h40m 75 75 75 2 19 370 1,784 19,622 3h49m 849 849 849 3 24 752 2,961 32,573 6h12m 3,507 3,507 3,507 4 31 1,245 4,243 46,669 8h46m 9,600 9,600 9,600 5 40 1,839 5,608 61,683 11h27m 20,963 20,963 20,963 6 51 2,530 7,043 77,472 14h15m 39,682 39,682 39,682 7 64 3,314 8,540 93,935 17h9m 68,062 68,062 68,062 8 79 4,186 10,091 110,998 20h8m 108,612 108,612 108,612 9 96 5,144 11,691 128,605 23h11m 164,025 164,025 164,025 10 115 6,186 13,337 146,708 26h19m 237,171 237,171 237,171 11 136 7,309 15,025 165,270 29h30m 331,082 331,082 331,082 12 159 8,511 16,751 184,260 32h45m 448,948 448,948 448,948 13 184 9,790 18,514 203,649 36h3m 594,105 594,105 594,105 14 211 11,146 20,311 223,416 39h24m 770,033 770,033 770,033 15 240 12,576 22,140 243,539 42h48m 980,349 980,349 980,349 16 271 14,080 24,000 264,000 46h15m 1,228,800 1,228,800 1,228,800 17 304 15,656 25,889 284,784 49h44m 1,519,261 1,519,261 1,519,261 18 339 17,303 27,807 305,875 53h16m 1,855,731 1,855,731 1,855,731 19 376 19,020 29,751 327,262 56h50m 2,242,327 2,242,327 2,242,327 20 415 20,806 31,721 348,933 60h27m 2,683,282 2,683,282 2,683,282 ※アップグレード中は精錬量0になります コメント 名前 コメント
https://w.atwiki.jp/techsure/pages/32.html
翻訳元 Durango Display Planes Today we present another Durango GPU custom feature shown in our first exclusive article the display planes. 本日はDurango GPUのもう一つのカスタム機能について独占記事をお届けしよう。ディスプレイプレーンについてだ。 The Durango GPU supports three independent display planes, which are conceptually similar to three separate front buffers. The display planes have an implied order. The bottom plane is combined with the middle plane using the middle plane’s alpha channel as an interpolation factor. The result of this operation is combined with the top plane using the top plane’s alpha channel as an interpolation factor. Blending occurs at 10-bit fixed-point precision. The following diagram illustrates the sequence of operations. Durango GPUは3つの独立したディスプレイプレーンをサポートしている。それらは概念的には3つの別々のフロントバッファに近いものだ。ディスプレイプレーンは重ね合わせの順番が固定的に決まっている。下層のプレーンは、合成の係数として中層のプレーンのアルファチャネルを用いて中層のプレーンと合成される。この合成操作の結果は、さらに合成係数として上層のアルファチャネルを用いて合成される。合成は10bitの固定小数で行われる。次の図はこの操作のシーケンスを表している。 The three display planes are independent in the following ways, among others They can have different resolutions. They can have different precisions (bits per channel) and formats (float or fixed). They can have different color spaces (RGB or YCbCr, linear or sRGB). これらの3つのディスプレイプレーンは次の点で互いに独立している。 異なる解像度を設定可能 異なる精度(1チャンネルあたりのビット数)やフォーマット(浮動小数か固定小数か)を設定可能 異なる色空間(RGBかYCbCrかsRGBか)を設定可能 Each display plane can consist of up to four image rectangles, covering different parts of the screen. The use of multiple screen rectangles can reduce memory and bandwidth consumption when a layer contains blank or occluded areas. 各々のディスプレイプレーンは最大4つまでのイメージ矩形から成り立ち、イメージ矩形はスクリーンの異なる部分をカバーする。複数のスクリーン矩形を使用することで、もしレイヤーの一部がブランクだったり上書きされる場合にメモリーと帯域の消費を削減することができる。 The display hardware contains three different instances of various image processing components, one per display plane, including A hardware scaler. A color space converter. A border cropper. A data type converter. ディスプレイハードウェアは様々なイメージ処理コンポーネントから成り立つインスタンスをディスプレイプレーンごとに計3つ持つ。それらは次の機能を持っている。 ハードウェアスケーラ 色空間変換 切り抜き データタイプ変換 Using these components, the GPU converts all three display planes to a common output profile before combining them. これらのコンポーネントを使うことでGPUは合成する前に3つのディスプレイプレーンを共通の出力プロファイルに変換する。 The bottom and middle display planes are reserved for the running title. A typical use of these two planes is to render the game world at a fixed title-specified resolution, while rendering the UI at the native resolution of the connected display, as communicated over HDMI. In this way, the title keeps the benefits of high-quality hardware rescaling, without losing the pixel-accuracy and sharpness of the interface. The GPU does not require that all three display planes be updated at the same frequency. For instance, the title might decide to render the world at 60 Hz and the UI at 30 Hz, or vice-versa. The hardware also does not require the display planes to be the same size from one frame to the next. 下層と中層のディスプレイプレーンは動作中のタイトルのために予約されている。これらの2つのプレーンの典型的な使い方はゲームワールドの描画はタイトル固有の解像度で行い、UIはHDMIで通信することで接続されたディスプレイの解像度で描画するやり方だ。このようにしてタイトは、UIのピクセルの正確さとシャープネスを失わずに、ハイクオリティなハードウェアの再スケーリングの恩恵を受けることができる。GPUは3つのディスプレイプレーンのすべてが異なる頻度で更新されることを許容する。例えば、タイトルはゲームワールドを60HzでUIは30Hzで、あるいはその反対のケースで描画することに決めるかもしれない。ハードウェアはまた、ディスプレイプレーンがあるフーレムから次のフレームで異なるサイズに代わることを許容する。 The system reserves the top display plane for itself, which effectively decouples system rendering from title rendering. This decoupling removes certain output constraints that exist on the Xbox 360. For example, on Durango the system can update at a steady frame rate even when the title does not. The system can also render at a lower or higher resolution than the title, or with different color settings. システムは上層のディスプレイプレーンを予約する。それは効果的にシステム描画とタイトル描画を分離するものだ。この分離はあるXbox360に存在した出力のおけるある制限を取り除く。例えばDurangoにおいては、たとえタイトルが不安定なフレームレートであってもシステムは安定したフレームレートで更新できる。またシステムはタイトルよりも解像度が低くても高くても、あるいは異なる色設定であっても構わない。
https://w.atwiki.jp/frontmission4/pages/77.html
|Battle|Tutorial| E.C.編 Durandal Simulator 01|02|03|04|05|06|07|08|09|10|11|12 南米編 U.S.N. Simulator 01|02|03|04|05|06|07|08|09|10|11|12 Durandal Simulator 06マップ 敵 Durandal Simulator 06 デュランダル 戦術研究シミュレーター#06 天候 晴れ 時間 朝 勝利条件 敵全滅 敗北条件 味方全滅 25ターン以内にクリアすると、「Durandal Simulator 10」追加 マップ 地形 進入不可 木 平地(0°≦傾斜≦15°) 砂地 岩地 浅瀬 平地(4脚移動不可) 段差 平地(15°<傾斜≦30°) 砂地(15°<傾斜≦30°) 岩地(15°<傾斜≦30°) 深瀬 平地(4脚移動可) 段差(柵など) 平地(30°<傾斜≦45°) 砂地(30°<傾斜≦45°) 岩地(30°<傾斜≦45°) マップ X 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 Y 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 ▼ 補給コンテナ投下地点(HP=1400) 敵ユニットリンク設定 アタックリンク:[支援機]―→ | ディフェンスリンク:[支援機]―→ 敵ユニット動作敵ユニットは基本的に、ダメージを受けるか、接近すると行動を開始する 敵ユニット その他行動条件 1 2 3 1 10のBodyのHPが約1/2以下になると行動開始 2のBodyのHPが約1/3以下になると行動開始 10 or 2のArmのHPが約1/2以下になると行動開始 2 1 or 3のBodyのHPが約1/2以下になると行動開始 - 1 or 3のArmのHPが約1/2以下になると行動開始 3 2 or 4のBodyのHPが約1/2以下になると行動開始 - 2 or 4のArmのHPが約1/2以下になると行動開始 4 3 or 5のBodyのHPが約1/2以下になると行動開始 - 3 or 5のArmのHPが約1/2以下になると行動開始 5 4 or 6のBodyのHPが約1/2以下になると行動開始 - 4 or 6のArmのHPが約1/2以下になると行動開始 6 5 or 7のBodyのHPが約1/2以下になると行動開始 - 5 or 7のArmのHPが約1/2以下になると行動開始 7 6 or 8のBodyのHPが約1/2以下になると行動開始 - 6 or 8のArmのHPが約1/2以下になると行動開始 8 7 or 9のBodyのHPが約1/2以下になると行動開始 - 7 or 9のArmのHPが約1/2以下になると行動開始 9 8 or 10のBodyのHPが約1/2以下になると行動開始 - 8 or 10のArmのHPが約1/2以下になると行動開始 10 9 or 1のBodyのHPが約1/2以下になると行動開始 - 9 or 1のArmのHPが約1/2以下になると行動開始 上へ 敵 No. Name UNIT PILOT PARTS WEAPONS SUPPORT 獲得 Lv. Speed MG 武器熟練度 SKILLS Name Body HPNow / Max L Hand R Hand L Shoulder R Shoulder Atk. 金 Body Eva. Evasion SG L Arm 武器種 Def. L Arm APNow / Max AP Charge RF R Arm 攻撃属性 ITEMS R Arm BZ Legs Dmg.(Dmg. Cut) Legs BP Systems Resist MS Type Move × 経験値(基準) Armor Attack RK BP 機能(AP) AP Move GR 攻撃回数 Link ML Range EP(基準) BP CN HIt% / Area / Homing 1 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 2 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 3 Autopilot 9 5 MG 2 No Skills Zora Zora 980 / 980 Gnautz L Hand 金 392 10% 6% SG 0 Zora 490 / 490 BZ L Hand 78 18 / 18 18 RF 0 Zora 490 / 490 No Items 78 BZ 2 Zora 570 / 570 120×1+40×4 137 EMP Systems 0% MS 2 2Legs 7 30 経験値 70 Attack 0% RK 2 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 6 Move 0% GR 0 ×1 Link 40% ML 0 1-8 EP 22 BP 0% CN 2 70%-39% 4 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 5 Autopilot 9 5 MG 2 No Skills Zora Zora 980 / 980 Gnautz L Hand 金 392 10% 6% SG 0 Zora 490 / 490 BZ L Hand 78 18 / 18 18 RF 0 Zora 490 / 490 No Items 78 BZ 2 Zora 570 / 570 120×1+40×4 137 EMP Systems 0% MS 2 2Legs 7 30 経験値 70 Attack 0% RK 2 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 6 Move 0% GR 0 ×1 Link 40% ML 0 1-8 EP 22 BP 0% CN 2 70%-39% 6 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 7 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 8 Autopilot 9 5 MG 2 No Skills Zora Zora 980 / 980 Gnautz L Hand 金 392 10% 6% SG 0 Zora 490 / 490 BZ L Hand 78 18 / 18 18 RF 0 Zora 490 / 490 No Items 78 BZ 2 Zora 570 / 570 120×1+40×4 137 EMP Systems 0% MS 2 2Legs 7 30 経験値 70 Attack 0% RK 2 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 6 Move 0% GR 0 ×1 Link 40% ML 0 1-8 EP 22 BP 0% CN 2 70%-39% 9 Autopilot 9 1 MG 0 No Skills Zeder H2 Zeder H2 1100 / 1100 PheasantⅢ MS PheasantⅢ MS L Hand 金 336 0% 3% SG 0 Pheasant Ⅲ 850 / 850 MS MS L Hand 448 18 / 18 18 RF 0 Pheasant Ⅲ 850 / 850 No Items 448 BZ 0 Zeder H2 730 / 730 62×6 62×6 67 EMP Systems 0% MS 2 2Legs 3 8 8 経験値 70 Attack 30% RK 0 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 10 10 Move 0% GR 0 ×1 ×1 Link 0% ML 2 6-8 6-8 EP 22 BP 0% CN 0 Good Good 10 Autopilot 9 5 MG 2 No Skills Zora Zora 980 / 980 Gnautz L Hand 金 392 10% 6% SG 0 Zora 490 / 490 BZ L Hand 78 18 / 18 18 RF 0 Zora 490 / 490 No Items 78 BZ 2 Zora 570 / 570 120×1+40×4 137 EMP Systems 0% MS 2 2Legs 7 30 経験値 70 Attack 0% RK 2 EMP22-Blei Range:1-4ST-Attack:60%(4)×Half Move×Backpack|×AttackTurn:3 6 Move 0% GR 0 ×1 Link 40% ML 0 1-8 EP 22 BP 0% CN 2 70%-39% 上へ