約 1,982,923 件
https://w.atwiki.jp/hogazurou/pages/93.html
発表用資料 CPLDの利用 CPLD(complex programmable logic device)の略で、論理回路をプログラムで組むこ とができる。これにより、PICとは比べられない速度が得られる。 利点としては、プログラムの書き換えができるため、デバッグが非常に楽であること があげられる。論理回路を手配線で組み、しかもデバッグまでするのでは、時間も コストもかなりかかると推測できる。この点から論理回路をプログラムで書き換えが 可能であることのメリットは非常に大きいことが分かる。 目的 CPLDの利用目的は、PICでは性能(主に速度)的に使用が厳しいデバイスとの接続の際の、 中間デバイスとして用いることである。これを利用した最終段階ではカラー液晶に利用した いと考えている。近い目標としてはSRAMの制御のために利用することにした、SRAMは、高 速に大容量を読み書きできるデバイスである。今回のCPLDの役割は、・PICだけでは通信不 可能な速度で通信すること・PICのpin数を補うこと。の二つが考えられる。 今回のCPLDの動き 今回はCPLDのプログラムをVHDLという言語を用いて書いた。通信テスト・動作テストを兼 ねて電子工の“Hello World!”であるLEDの点滅を試みた。短いプログラムなので、全部 載せることにした。アルゴリズムは、単純で、 数字を無限にインクリメントし続ける。 その数字を2進数で考え20番目が0ならledを点灯 20番目が1ならledを消灯させる。 20番目は2の20乗に1回変化するので、8MHZのクロックであるこのボード上では250ms に1回LEDが~点滅するはずである。 プログラムの説明 VHDL習得希望者はいないと思うので、軽い説明とする。上3行は、C言語でいうincludeと同 じである。この行がif, then, =, +, などの分岐の文や、計算の文を書くために必要である。 Portの()でくくられたなかに、CPLDの外部との接続を書く。この例ではclkは外部からデー タを受けている。逆にled_outは外部にデータを出力している。If文のところの意味はクロッ ク信号が変化して、かつその変化後の値が1の時counterを増やすというものである。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity test is port( clk in std_logic; led_out out std_logic); end test; architecture Behavioral of test is signal counter std_logic_vector(20 downto 0); begin process(clk) begin if clk event and clk= 1 then counter =counter+1; end if; end process; led_out =counter(20); end Behavioral; これらに興味があれば なお、PLDに興味を持ってもらえたならばFPGA,CPLD Quartus(アルテラ社のPLD書き込みソフト) Xilinx IDEにもそれぞれ対応したページが用意してあるので 一度ご覧ください。 CPLD-Xilinx-WebPack FPGA-Altera-Quartus とあるアドバイスを踏まえて 聴者に分かりにくい専門用語を事前にpick up 論理回路 AND素子OR素子を代表するデジタル回路の基礎。 信号をhighかlowかで入力値を演算する。 SRAM 高速で通信でき、かつ、比較的容量の大きいメモリ クロック周波数 論理回路系を使うときにつかう、順番に入出力を行うために 論理回路にhigh→low→high→lowを繰り返す信号を入力 する。これをクロックと呼びその速度を周波数であらわす。 PCのCPU性能に書かれている周波数もこれのこと インクリメント ある値に1を加えること。またはそれを繰り返すこと 難しい用語を使わない 中間デバイス あるデバイスとあるデバイス間で通信する際に、間に挟むデバイス。 今回は、速度の遅いデバイスから速度の速いデバイスに接続する際に 少しでも速度を上げるために間に中間デバイスをはさんでいる。 理解の努力を聴者に投げない 8MHzのクロックで2真数の20番目を利用すると250msになる 8MHzは一秒間に8000000回クロックを動かす。 2の20乗は 2097152でおよそある。 よって2097152/8000000がおよそ1/4つまり0.25秒つまり250msと なったわけである。
https://w.atwiki.jp/madosayawiki/pages/323.html
795 名前:名無しさん@お腹いっぱい。[sage] 投稿日:2011/08/28(日) 17 14 51.31 ID XxN0FDoh0 まどかだと騎士より召使とか有りだな 悪の召使的な悲劇の物語でも合いそう ヤンデレまどかww 799 名前:名無しさん@お腹いっぱい。[sage] 投稿日:2011/08/28(日) 17 57 36.56 ID NXFAgsru0 795 入れ替われませんけどね! 「ほら私の服を貸してあげるよさやかちゃん!これを着て早く逃げて!」 「ま、まどか…ごめん」 「謝らなくていいよ…だって私達双子だもん。きっと誰にも…」 「いや、そうじゃなくて…背とか胸がキツくて着れない…」 「……ねぇ、さやかちゃん。私達双子だよね?」 「いちおう…」 「だったらなんでこんなに背も胸もさやかちゃんの方が大きいのっ!?こんなの絶対おかしいよ!」 「そんなのあたしに言われたって困るわよっ!まどかの発育が悪いだけでしょっ!?食事はちゃんと半分こしてるんだし!」 「ううっ…さやかちゃんの女装王子ー!」 「なっ!?よくも言ったわねこの幼児体型!」 「あー、ひどいよ!さやかちゃんのバカ!」 「まどかのアホー!」 「えーっと…あたしらは踏み込むべきなのか…?」 「いいんじゃないほっといて?それよりこいつを何とかしましょう」 「僕の出番がこれだなんてわけがわからないよ…」 「色的には私があそこにいるはずなんだけどな…」 『あなた(あんた)(君)の胸じゃ誰も入れ替われないわよ(ねぇよ)(ないよマミ)』 「ううっ…」
https://w.atwiki.jp/azum/pages/57.html
暦 「さて、そろそろご飯の支度でもしようかな。ちよ、晩ご飯は何が食べ……」 ちよ「あ、おかあさん。もうすぐ晩ご飯ができますよー。えーと、最近揚げ物とか 肉料理が多かったんで、それじゃ健康面でどうかと思いまして。 今日はカレイの煮付けにしました。あとほうれんそうの白和えと、 シジミの澄まし汁を作ったんですけど……。あっさりしすぎてますか?」 暦 「……え? あ、ああ、ありがとう。大したもんだよ。 そうか、もう晩ご飯を作ってくれてたんだな。偉い偉い。じゃ、私は……」 ちよ「それと、お風呂場とトイレを掃除しておいたんですが、ごめんなさい、 お風呂場のカビが落ちませんでした。あのー、今度塩素系の洗剤を 買ってきてもらえますか?」 暦 「は、はあ、そりゃあどうも……。ちよ、手伝ってくれるのはうれしいけど、 そんなに一日中家事をしなくてもいいんだよ。子供は子供らしく……」 ちよ「はい、宿題はほとんど終わらせました。あとで国語の音読を聞いて チェックしてもらえませんか? そういう宿題なので」 暦 「……あ、ああ! そうだね、いくらでも聞いてあげるよ。ちょっとおかあさん 庭に出てくるよ。すぐ戻るからね」 暦 「うう……。家事、しつけ、勉強、いつも全て完璧だ……。 母親としての立場がないな……。まったく、誰に似たのやら……。 ああ、本当の子じゃなかったんだよな。宇宙船が衝突して(以下略)」 榊 「こんばんは」 暦 「おお、榊。パトロールか?」 榊 「うん。保安官をやる以上、ちゃんと責任は果たさないと」 暦 「いつもすまないな榊。ああ、ちよちゃんが夕食を作ったんだ。食べていけよ」 榊 「え……。でも、家族のだんらんを邪魔するのは悪い気が」 暦 「邪魔になんかならないって。大勢いた方が楽しいし、それにちよちゃんだって 榊が来ると喜ぶんだぞ」 榊 「そうか。それじゃお言葉に甘えて……」 智 「ただいまー」 暦 「おお、ペットのリスの智ちゃん。いままで掃除の手伝いもせずどこを ほっつき歩いていた!」 智 「いいじゃん、掃除なんてめんどくさいよー!」 暦 「うるさい。それじゃちよちゃんに示しがつかないだろうが!」 智 「なんだよー。だいたいペットが掃除なんかする必要あるの? そもそも 何で私がペットな訳?」 榊 「あっ、あのっ! ペットが嫌ならやっぱり私と交代しないか?」 智 「そうだそうだ! 前々から言ってるだろ! 私が保安官でICPOなのが お似合いだって!」 榊 「リス……いや猫も捨てがたい……ハムスターなんてどうだろう……」 暦 「ダメだ! 今さら変わったらちよちゃんがおかしいと思うだろう! 情操教育に よくない! それにな、榊。気持ちは分からないでもないが、榊が 保安官をやらなかったら、いざってときにここを、ちよちゃんを、 守れないんだよ」 智 「私がチョップで」 暦 「守れるかっ! 榊、ほんとにすまん。厄介ごとを押し付けてばかりで……」 榊 「いや、謝らなくても。私がちよちゃんにできることと言ったら、これぐらいしか ないから。私もわがままだった。……そうだ、ペットになれないなら、 せめて今度からヘルメットに猫耳をくっつけて猫耳パトロールに……」 暦 「ま、まあその辺は好きにしてくれ……。ところで、宿六はどこにいるんだ?」 智 「そこにいるじゃん。ほら、木にぶら下がってる」 暦 「……あんた、そこで何してる?」 大阪「みの虫。夏の風物詩や」 暦 「なんでみの虫が夏の風物詩なんだ。ったく、あんたも少しは父親の威厳って ものをな」 大阪「みの虫が成長するとクワガタになるのです」 暦 「あー、期待したのが間違いだった」 智 「ねー、前から思ってたんだけど、大阪が父親って思いっきり ミスキャストじゃないの?」 暦 「ああ、そうだな。あの時最後に決めた役だからな。実は余り物なんだよな」 智 「父親なら、私の方が良かったんじゃない? そしてよみと甘い夫婦生活を ……ハァハァ」 暦 「……ハァハァ、と、とも……って萌えちゃったじゃねえか! そ、そうしたいのは やまやまなんだが……。今さら配役を変えようとすると、離婚してペットと 再婚することになっちゃうだろう。それは……」 ちよ「みなさーん。ご飯冷めちゃいますよー! あの、ところで何の話なんですか? リコンってどう言う意味ですか?」 暦 「い! いやー、なんでもないんだよ! すぐに行くから。今日は榊お姉ちゃんも 一緒にご飯食べるからね」 ちよ「わーい! 榊お姉ちゃん大好き! 今日はカレイの煮付けなんですよー!」 榊 「それはおいしそうだ。ちよちゃんが作ったのか。偉いな」 ちよ「たいしたことじゃありません。それじゃ、こちらにどうぞ」 榊 「ああ、今行く。……やっぱりその話は後にした方がいい。ちよちゃんに 聞かせちゃいけない」 暦 「そうだな。悪かった。演じる限りはちゃんとやらないとな……」 智 「じゃあ、ペットと子持ち主婦の不倫、ってことで」 暦 「それがダメだって言ってるんだろうが!」 大阪「つくつくほーし。つくつくほーし」 つづかない
https://w.atwiki.jp/regulus8492/pages/18.html
機体の特徴 ゲーム上で機体を操作しての特徴・性質、対戦時の使いやすさなどを研究中。 実機のことをより詳しく知りたい人は機体名をクリック。 戦闘機 マルチロール機 攻撃機 攻撃ヘリ 爆撃機 ガンシップ 架空機 使用可能な機体 戦闘機 F-22A Raptor アメリカ軍が誇る最新鋭ステルス戦闘機。制空戦闘機ではなく航空支配戦闘機と称することから相当の自信が窺える。その実力は他機の追随を許さない。 「ラプター(猛禽)」の名に恥じない高性能ぶりは今回も健在。全ての性能において高いレベルでまとまっている。今作の主人公機。 主人公機抜擢回数はエスコンシリーズ史上ダントツトップ。今作でもファンサービスとして、「リボン付き」こと メビウス1 や、PMCの英雄 アンタレス隊 のカラーが用意されている。 高性能だが、機体性能の差が少ない今作ではある程度の腕がなければ容易く落とされることには変わりはない。高性能と扱いやすさから、オンラインに参加したてのルーキーが多く使用する。 Su-47 Berkut 通称「ベルクート(犬鷲)」と呼ばれる大型前進翼機。三面翼で構成される奇抜な機体。特徴的な設計がもたらした鬼畜機動は脅威で、一対一での戦闘が得意。 ただし、特徴的な仕様から上級者でも扱いが難しく、これにのれば勝てると思い込んだ新米パイロットが次々と餌食になっているのが現状。 使いこなすには相当な腕と専用のスキル設定が必要と考えられている。特殊兵装はSAAM・QAAMだが、SAAMは機体の特性とやや合わないため、特殊兵装はQAAMを推奨。 ベルクトは全機体でも有数の安定性の低さ。低空での戦闘は不意の事故死を招く。注意しよう。メリハリを付けた機動が使いこなすカギ。 Su-35 Flanker-E フランカーDを超える性能をもつ上位機体、通称「フランカーE」。PAK FAとは一部の機体部品が共通だったりもする。 ちなみに5で敵エース部隊「オヴニル隊」が使用したSu-35と今作の機体は別種。 Dと比べてカナード翼がないのが特徴。ラプター、パクファに引けを取らない機動性を持ち、コブラ機動も容易にできる。 速度は劣るが、格闘戦に持ち込めばかなりの確立で勝利することができる。6AAM・QAAMを使えば遠近の敵機を狙える優秀な機体。 Typhoon 正式名称「ユーロファイタータイフーン」、ヨーロッパ諸国の保有するマルチロール機。実機での対地攻撃能力付与の開発遅延もあってか、今作ではファイターとして登場。 どれも平均的な能力で、高ランク機に分類されるが加速性能ではイーグルに、機動性ではフランカーDに劣る。対戦でのCPUファイターデフォ機。 兵装はマルチロックオンミサイルで、4AAMと6AAMがある。格闘戦重視なら誘導性の高い前者、遠距離からの攻撃ならロックオン数の多い後者がオススメ。 特徴が無いのが特徴。遠距離と近距離、堅実に動けば動くほど見返りが多い機体である。また6AAMのロックオン速度が早く、安定性も高いので6AAMが使いやすい。 F-15C Eagle 知る人ぞ知る、「円卓の鬼神」サイファー&「片羽の妖精」ピクシーの愛機。オンラインで使い続けると良い事が…。 惜しかったなぁ 相棒 歪んだパズルは一度リセットするべきだ このV2で全てを『ゼロ』に戻し 次の世代に未来を託そう トップランクの最高速度と加速性能で敵を翻弄する。機動性もそれなりに良好で上位機に引けをとらない。 高い加速性能と速度を生かせばDFMをかけられても簡単に落とされることはない。耐久力が高めなのも優秀さの証。 高い人気を維持し続ける名機。レグルス隊内にも愛用者は非常に多い。 Su-33 Flanker-D 6の「シュトリゴン隊」が標準装備していた機体。通称「フランカーD」。艦載機なので翼が折れてコンパクトにする事もできたりする。 6AAM、SAAMを装備しており狙撃・広域制圧など幅広い活躍ができる。 フランカーシリーズの特徴として安定性は低いが、高い機動性で格闘戦には強い。ストールや極低速には注意すること。 低ランク機としてはかなり強力な機体なので愛用者は多い。速度のCイーグルに対し、機動のフランカーDか。 F-14D Super Tomcat 空母艦載機の象徴とも言える機体。あれ?今作って発艦無かったような…。 あのAIM-54フェニックスを撃てる。実戦では当たらないことで有名なミサイルだけど気にしない。普通の6AAMと外見以外は性能の違いは無いが…。 速度域を問わず安定した運動性能が魅力。可変後退翼のおかげ!ただし元の機動性は控えめ。デスマッチだとちょっぴり機動は苦しいがそこは愛と速度でカバー。 6AAMをぶっ放すのもよし、SAAMで狙い撃ちもよし。格闘戦は苦手なので、敵と距離を取って交戦するときに頼れる相棒。 Su-24MP Fencer ファイターで唯一ECMが乗せられる機体。可変翼が重たいせいか、機動も重め。対艦ミッションではモーラト隊が使用している機体。 「厚い装甲により、ファイターの中でも耐久力は高めになっている」という説明とは裏腹に、機体耐久力はそこまででもない。 現実世界では戦術爆撃機や攻撃機・電子戦機として区分されることが多い。意外だが、実機はPAK FA以上の驚くほど大柄な機体。ゲーム中だと小さく描画されているが・・・ デスマッチにECM搭載機で出るのは嫌われる。周囲の迷惑でもあるので極力避けよう。それに、ミサイルを使われなくても撃墜されるときはしっかり機銃で墜とされます。 MiG-29A Fulcrum NATOコード「フルクラム」。ロシアや旧ソ連構成諸国等では「ラースタチュカ(燕)」と呼ばれている。 機体レート×1.30、リスボーン3秒でありながら、機動系のスキルを付けるとかなり動ける。コストパフォーマンスはゲーム上最高と言っても過言ではない。 特殊兵装はQAAM、4AAMと粒ぞろい。QAAMを使えば上位機体を墜としていくことも十分可能な機体。ベルクトほどでは無いが、稀に機体が滑る時がある。 Sランククリアの難しいミッションでは頼りになる機体。レッドムーン隊・ビッグベア隊の使用機でもある。 F-16C Fighting Falcon 空飛ぶ死亡フラグ、クロウ3、ガルム2「PJ」が使用した機体。 俺 実は基地に恋人がいるんすよ 戻ったらプロポーズしようと 花束も買ってあったりして 安定した飛行性能を持ち、癖がないため使いやすい。スランプしたときは練習機替わりに使ってみよう。高速の速度域だとやや動きが鈍くなるので注意。 特殊兵装も素直で強力。機体性能を腕でカバー出来れば上位機も墜とせる。低ランク機・リスポ3秒故に乱戦で真価が発揮される。 空戦だけならF16Cを使ってみよう。マルチロール機のF型より、C型の方が若干癖がない。余談だが、今作ではヤラレ役やモブキャラの僚機が良く使用している。 MiG-21bis Fishbed NATOコード「フィッシュベッド(漁礁)」。レグルス隊内では「お魚ちゃん」の愛称で親しまれている。 旧世代機ゆえの低性能ゆえに、対戦で戦果をあげるには相当の腕と研究が必要と考えられている。特に耐久力はヘリ並と、極端。 強力なMGPにより、アンチカウンターマニューバでは高い確率で撃墜できるが、まずDFMをかけること・振り切られないことが至難。 低速のため失速速度は他の機体よりも低いので、それを利用してカウンターマニューバを決め込むのが得策。 Su-37 Terminator (DLC5) フランカーシリーズの決定版。愛称は「ターミネーター」。現実には2機しか作られていない。 カナード翼・三次元推力偏向ノズルが特徴的なデザインは戦闘機としての一種の完成形といわれる。 04では「黄色中隊」が使用し、メビウス1と激しい戦闘を繰り広げた機体で、愛機とする人が多い。 他のフランカーシリーズに比べて減速性能が高かったりする。特殊兵装も4AAM・QAAMと、DFM時に扱いやすい点も長所。 YF-23 Black Widow II (DLC7) imageプラグインエラー ご指定のURLはサポートしていません。png, jpg, gif などの画像URLを指定してください。 F22と競合試作の後、惜しくも敗れた機体。性能的には上回っているともいわれる。現在、放棄された実機は「世界一高価な鉄屑」と揶揄されることもしばしば。 ウィザード1が使っていた機体、F22を上回るというステルス性を生かして戦ってきた。 世 界 は 変 わ る 全ファイター最高の耐久力を誇る機体。速度・機動性・安定性と全てにおいて性能は申し分ない機体。無論、ステルスのおまけ付き。 特殊兵装がQAAM、SAAM。マルチロック兵装が無い分少し扱いづらいか。なお機首下のウエポンベイは実際の試験機にはついておらず架空。やや窮屈そうな印象を受ける。 マルチロール機 PAK FA 通称「パクファ」のロシア軍の最新鋭第5世代戦闘機、正式には「T-50」とも。 ロシア語で戦術空軍向け将来戦闘複合体の略。今作ではマルチロール機として登場。 ほぼロシア版ラプターといっても過言でなく、高いステルス性、機動性、加速性能を持つ。ただし機体が大きめのため少し被弾しやすい。 唯一、トリニティを搭載できる機体。対戦では敵機(CPUも含む)を連続8キルすると、リスボーン後に使用可能となる。弾数は一発。 他の兵装として4AAM,QAAMなどの空戦用のものばかりで、対地攻撃力はほとんど有していない。トリニティも派手な見かけによらず威力は低め。 RafaleM ラファール、フランス軍の有する戦闘爆撃機、今作ではマルチロール。Mは単座型空母艦載機となっている。 加速性能・旋回性能が高く、対空格闘戦にかなり強いファイター寄りの機体。マルチロール機での唯一6AAM装備可能な機体。首都攻防・ドミネでの牽制役にどうぞ。 安定性は低いが、対地兵装はLAGMと、DLCを除くとこの機体が唯一装備できるBDMであり、遠距離で対地攻撃するのに向いている。 対空、対地ともに遠距離兵器がそろっており、素早くアプローチ、遠距離から狙撃、そして性能を生かした格闘戦と展開することで大活躍できる。 F-35B LightningII 「ライトニングⅡ」の愛称で親しまれるアメリカ軍の最新鋭第5世代戦闘機。ラプターよりも安価なので取得性に優れる。 上位機ならではの高い機動性と、ラプター並みのステルス性、そしてマルチロール故の汎用性などを併せ持つ優秀な機体。 LAGMによる長々距離からの攻撃や、高威力のGPBなど対地攻撃力に優れる。対空対地ともに高いレベルでまとめられており非常に扱いやすい機体。 マルチロールで迷ったらこれを選べば間違いがない。フライトアシストOFFだと、空中での擬似ホバリングが可能。 F-15E Strike Eagle 6の主人公、ガルーダ1「タリズマン」が使用した機体。Cイーグルとは異なり、こちらは高ランク機分類。リスポーンタイムは変わらないが注意しよう。 イーグルに対地攻撃力を付与したマルチロール機で扱いやすい。ちょっとぽっちゃりなのが玉に瑕? イーグル譲りの機動性と癖のない兵装で対地対空と幅広い活躍ができる。対空もよし対地もよし。ただし速度性能がイーグルから落ちているのが気になる点ではある。 首都攻防戦ではUGB・4AGMがHQへのダメージソースであり、機体性能が高く扱いやすいこともあって使用者は多い。 Gripen C 北欧のマルチロール機。通称の「グリペン」は鷲獅子の意味。高速道路からも離発着可能な実機は、他機と比べると驚くほど小さい。 速度・機動性と引き換えに装甲を捨てたピーキーな機体。対戦でのCPUマルチロールデフォ機。 特殊兵装がRKTL以外は4AAM、QAAMとほぼ対空一辺倒。アタッカー以外のRKTLは発射数が少ないので、対地戦での使い勝手はすこぶる悪い。 対戦ではフランカーに隠れて目立つことない機体だが、強力な対空兵装となかなかの機動力もあいまって積極的に攻撃を仕掛けることができる。 F/A-18F Super Hornet 現在、アメリカ軍が使用している艦載戦闘攻撃機。今作ではマルチロール機として登場。 SAAM、ECM、LAGMを装備しており、マルチロールならではの幅広い活躍が期待できる。 比較的良好な機動性をもち、腕さえあれば大抵の敵機と互角に戦うことも可能。特殊兵装の仕様上、最前線・後方援護問わず、友軍との連携があってこそ真価を発揮できる。 ゲーム中のECM保有機(DLCのCFA-44を除く)としては最高の機動性ゆえに、主にジャミングによる友軍支援目的で使用されている。 F-16F Fighting Falcon F16Eを複座にした機体、性能的にはCをマルチロール化したような機体。不恰好だなんて言わないであげよう…。 装弾数は少ないが色々な兵装がそろっている。GPBで対地も良し、ECMで友軍の援護も良し。QAAMで空戦だってこなせちゃう。 Cよりは少し使いにくいが、差は僅か。ECM機としては速度性能がイマイチなのが気になるところではある。 飛行性能、兵装から言って空戦、対地戦などさまざま状況に対応できる。ECMが積めるため、ジャミングによる電子戦機として使用されることもある。 Mirage2000-5 マルチロール機としては低性能なために、扱いが難しい機体。速度・加速性能だけはやや高め。とはいっても高ランク機にははるか及ばないが…。 機体レートが×1.40と高いので、Sクリアできないミッションがある時にどうぞ。入手容易なマルチロールマスタリーで、ポイントを水増しできるのもグッド。 4AGMを使える機体としては唯一、3秒という短いリスボーンタイムでコストパフォーマンスも非常に高い。 それ故か首都攻防戦やドミネーションではまれに見かける。装甲の薄さは腕とスキルでカバー。 F-4E PhantomII (予約特典) 予約特典。残念ながら今後配信されることはない。レグルス隊内では「おじいちゃん」と親しみを込めて呼ばれるときもある。 古い機体だけあって旋回性能は劣悪。速度性能はそれなりだが、旧世代機ゆえの中途半端なレベル。使いこなすには慣れが必要かも。 こんな機体じゃカウンターマニューバ出来ないんじゃ…と思いきや出来る。 兵装はそこそこ。色々な戦い方が出来る。QAAMを使いキルカメラでドヤ顔するのもよし、ECMで撹乱・友軍支援もよし、UGBで爆撃も出来る。 F-15S/MTD (DLC4) 5で敵エース部隊、「グラーバク」が使用したイーグルにカナード翼&推力偏向ノズルなどの改造を施した技術試験機。 イーグルの高い最高速度、加速性能、安定性をそのままに高い機動性を手に入れた恐るべき機体。実機だと加速・速度性能は、もとの機体に比べて重量増で低下しているのだが…。 ラプター、パクファにも引けを取らない性能で、パイロットの能力を最大限にまで引き出す。他機より高性能な加減速をDFMで活かし、敵を翻弄しよう。 そのデザイン、性能ゆえに愛用者も多い。マルチロック兵装が無いのが欠点といえば欠点なのかもしれない。 AV-8B Harrier II Plus (DLC6 オンライン強化パック同梱) アメリカ軍海兵隊が英空・海軍機のハリアーに改修を加えたのが本機。日本の海上自衛隊でも導入計画があった。 アフターバーナーが無いため、加速力は貧弱。最高速度もマルチロール機中最低と心もとない。慣性の働く機動は慣れるまでもっさり感じるかもしれない。 特殊兵装は4AAM、RKTL、4AGMとまさにマルチロール。グリペン同様、RKTLは発射数が少ないので扱いづらい。ただし低速度域で見せる安定感抜群の機動力は圧巻の一言。 F-35同様に、フライトアシストOFFだと失速時にアナログステッィクを下に入れればホバリングできる(高度は少しづつ下がるが)。ヨーを使い、その場での方向転換も可能。 攻撃機 Su-34 Fullback Su-27フランカーを基盤としたロシアの戦闘爆撃機。今作ではアタッカーとして登場。後部には簡易キッチンと洗面所付き。 フランカーを基盤としているが、攻撃機として申し分ない安定性と耐久力を誇りつつ、アタッカーとは思えない加速・機動・最高速度をもち、DFMが使えないのが悔やまれるほどである。 兵装も対地目標をマルチロックオンできて使いやすい4AGM、瞬間火力が強力なGPBと死角がない。 アタッカーとして申し分なく最高クラスであり、目標に素早くアプローチ出来、その後超低速で対地攻撃、少々の攻撃にびくともしない上、機動力も高く撃ち漏らしに困らない。 F-2A 日本の航空自衛隊の保有する、F‐16を基にした戦闘爆撃機である。今作ではアタッカーとして登場。日米合同開発機のため「ヴァイパーゼロ」とも。エスコンでは通称「対艦番長」。 耐久力が低いので注意。しかしそれを補って余りある運動性で、特に加速に優れるため高ランク機であることに納得がいくだろう。対戦でのCPUアタッカーデフォ機。 本作では唯一の実在する日本自衛隊機。こーしょん、みさいる、ぷーるあっぷ・・・この発音英語圏の人が聞いてどう思ってるのだろう・・・ 首都攻防戦においてレーダー周り破壊に有効なLAGMが最も使いやすい。加速して一気にTGTを狙い撃てば、驚くほどの早さで制圧可能。 A-10A ThunderboltII 大人気のゴリ押しマシン、攻撃機のの代表格、それが「サンダーボルト」である。開発に際し、前人未到の戦果を挙げたハンス・ウルリッヒ・ルーデル氏も関わっている。 最大の特徴である、強力無比な機首の30mm7連バルカン「アベンジャー」は、実機だと発射の反動で機体がやや減速する。ゲーム内でも発射音・威力が他の機体と異なっている。 圧倒的な耐久力で地上からの猛攻に耐えつつ、低速低空での抜群の安定と運動性で、1アプローチに凄まじい猛攻を加えることができる。ヨーが効くためASM時もガンが目標に当てやすい。 最高速が遅いのが玉に瑕。ただし一旦目標に近づけたなら、制空機に邪魔されない限り圧倒的な火力でルーデルできる。オンラインでの絶滅が危惧されている。 F-117A Nighthawk 世界初の実用ステルス攻撃機、今作でもアタッカーとして登場。夜間飛行を前提にした黒の塗装で愛称は「ナイトホーク(夜鷹)」 湾岸戦争ではそのステルス性能で一躍脚光を浴びたにも関わらず、ステルス機の宿命である維持コストの高さから現在は退役。 アフターバーナーはなく、角ばった独特の形から加速、運動性には恵まれないが、アタッカー唯一の元からステルス持ちである。ちなみに、コックピット視点はかなり見づらい。 兵装はLAGMとGPBと非常に恵まれており、ステルス性を生かしながら低空でアプローチすることで静かに大はしゃぎできる。 Su-25TM Frogfoot 「フロッグフッド」とはあまり格好の良い愛称ではないが、これはNATOの愛称で、旧ソ連での愛称は「グラーチュ(ミヤマガラス)」。 旧ソ連がアメリカのA-10に対抗して作られた攻撃機で、今作ではもちろんアタッカー。性能もサンダーボルトと似通っている。ただし総合的な機体性能はフロッグフッドの方が高い。 兵装がUGB・MGPとクセがある。MGPは時間火力は高いが、HQへの攻撃などに威力の低下補正がかかっている。UGBはクイックリチャージを付ければ、ASM中絶え間ない攻撃が出来る。 アタッカーでは唯一UGBを積める機体。高高度からの投下、相手HQのゴリ押しなどの用途が考えられるか。首都攻防での雑魚敵破壊要員。オンラインで絶滅が危惧されている機体の一つ。 Tornado GR.4 (DLC4) DLC第四弾で登場した、可変翼の高機動アタッカー。愛称の「トーネード(竜巻)」は<敵地攻撃>の意味を持つ。 速度性能は全アタッカーで最高クラス。目標へ迅速なアプローチができる。性能のバランスの良さは全アタッカーの中でも1,2を争うほど。 特殊兵装は4AGMとBDM。特にBDMはこの機を除けばRafaleMしか装備できない珍しい武装(ミサイル扱い)。BDMは範囲はあまり広くないが、蜃気楼のような独特の爆発エフェクトが特徴。 使用頻度の低いアタッカーであり、別段有名でもないことから使用者は少ない。同時期のDLCに人気機のF-15S/MTDがあったのも原因か。オンライン絶滅危惧種。 攻撃ヘリ AH-64D Apache Longbow 世界最強の対戦車ヘリの呼び声も高い、米陸軍の誇る戦闘ヘリ。陸上自衛隊も少数機ながら保有している。 軽そうな見た目通り、機体の動きはかなり軽快。ただしハインドに比べて線が細いからか、打たれ弱い一面もある。耐久性はハインドの約半分。 DLCでKa-50が出るまでは、「機動のAH-64、火力のMi-24」と使い分けられていたが、よりピーキーなKa-50派に人が流れてしまった。 照準移動速度を除けば、全ヘリ中圧倒的な機動性を誇る。当たらなければどういということは…。そんな攻撃至上主義な貴方にオススメ! Mi-24 Hind デカイ、速い、強い。男の夢を具現化したような旧ソ連の大型攻撃ヘリコプターである。(ちょい鈍重?キニシナイ アパッチと比較すると機動性は悪いが兵器搭載数・耐久力が高い。余談だが、コックピットには扇風機がついてたりする。 丸みを帯びた独特な形状の機首が特徴的。NATOコードネームは「ハインド」(雌ジカ) まだ東西冷戦でお盛んだったころにはNATO軍兵士から「ブギーマン(子供さらいの怪人)」と言われ恐れられていた。 MH-60 BLACK HAWK 米軍の輸送ヘリUH-60に武装を施した特殊作戦仕様機。作中ではプレイヤーはドアガンナーとしてプレイが可能。 ミッション「Shock Wave」「Lock and Load」でのみ使用可能なミッション専用機。対戦での出撃は無論不可能である。 ドアガンであるM134ガトリングは、米軍機に搭載されている機関砲M61バルカンを小型軽量化したもの。作中でドアガンのオーバーヒートはない。 専用ミッション中、敵兵を銃撃して殺傷する描写がある。そのせいかエースコンバットシリーズにしては珍しく、今作が全年齢対象のゲームではなくなったと思われる。 Ka-50 Hokum (DLC7) 二重反転プロペラが特徴的なロシアの攻撃ヘリ。現実ではMi-24ハインドの後継機争いで、Mi-28ハボックに紆余曲折の末負けてしまった機体。 AH-64・Mi-24より速度性能はやや低いが、照準の移動速度は他の2機種を凌駕している。ただし機体自体の横移動はAH-64より遅め。 特殊兵装がヘリの中で唯一SAAM、UGBとクセがある。SAAMを使えば驚く程長距離から敵機を狙い打てる。反面、兵器搭載数は少なめ。特殊兵装の仕様上、ヘリ対ヘリの空戦には向かない。 何気に射出座席付きの「単座」機体。フリーミッションでは居るはずのない副操縦士が声を掛けてくるがそこはご愛嬌。 爆撃機 B-1B アメリカ軍が保有する戦略爆撃機のひとつ。現在では緊急近接航空支援用に使用されている。愛称は「ランサー(槍騎兵)」 ロシア軍はこの機体を参考に、Tu-160ブラックジャック爆撃機を開発したともいわれている。Tu-160と比べると大きさ自体はB-1の方がやや小振りだったりする。 B-2と比べて、ステルス性は劣るが機動性と速度においてはスピリットよりも優れる。ステルス性がほとんど意味を成さない今作ではこちらのほうが使用されることが多い。 なお爆撃機は首都攻防戦で自軍HQの耐久力が2割を切ると選択できる。成功率は非常に低いが、きちんと決まれば一発逆転も可能。挑戦してみる価値はある。 B-2 Spirit アメリカの誇る最新鋭ステルス爆撃機。制作・維持ともに高額であり、ギネス記録ともなっている世界一高価な航空機。 ステルス重視のため尾翼がなく、エンジン排気熱の冷却、飛行機雲を作らないために化学物質を混ぜたり、レーダー波を吸収するコーティングととことんまで追求。 その費用の高さからすべての機体にスピリット(魂)の後に地名が付けられる。今作では最初に作られたスピリットオブアメリカが登場。 すべての性能が低く、今作では目視されておしまい。愛と魂で乗るのが大事。非常に高価なんだから、撃墜なんてしてはいけません。 ガンシップ AC-130U Spooky ロッキードC-130 ハーキュリーズに武装を施した機体である。ちなみに初代スプーキーはC-47にミニガンを積んだAC-47。 完全な制空権を獲得できるだけの航空兵力を有するアメリカのみ保有している。 左側に座る機長が目標を視認しやすいため、武装は機体左側面に装備され、目標を中心に左旋回しながら攻撃する。 今作ではなんとフレアが搭載できないため地対空ミサイルを撃たれたらガトリングで撃ち落とすしかない。どうしてこうなった。 架空機 ASF-X 震電II (DLC2) 可変翼と前進翼によって、どの速度域でも安定した機動性を確保できる、相手が苦手な速度であればパクファなどよりも高い機動力を要する。 しかしもともとの機動力がそこまで高くないため、相手機体が得意な速度域であれば下位機にも負けることがある。F-35やAV-8B同様にホバリング可能。 自機、敵機の得意な速度域などを知るのが勝利のカギとなる、そのため乗りこなすには相当の技術と経験が必要。 特徴的な機体を活かしてなのか、この機のみにしか出せないカウンターマニューバがある。特筆すべきほどの点ではないがギミックは非常に凝っている。 CFA-44 Nosferatu (DLC6 オンライン強化パック同梱) 6の敵エース部隊「シュトリゴン隊」隊長のパステルナーク少佐が使用した架空機。小説版でのNATOコードネーム(架空)は「ファンダンス(Fandance)」。 ジェントルマンがこんなに集まるとは 壮観だな 12連装高機動ミサイル(ADMM)、汎用レールガン(EML)2門、ECMなどの恐るべき兵装を装備している。 機動性、最高速度、加速性能、対地対空攻撃力などにおいて他の実在・架空機を圧倒する性能を持つ。ただし安定性は極めて低く、乗りこなすには独特の挙動に慣れる必要がある。 ハイGなしの旋回でハイG有りのラプターを超える機動を見せた実力は今作ではどのような影響をもたらすのか・・・
https://w.atwiki.jp/cosmicbreak/pages/2512.html
ページは作成。 その名の通り、ポンプ機構にアシストする何らかのパーツが付いたんだろう強化型ポンプガン。 しかし…一応威力は上がっているが、コストが大幅に上がった上に弾数-100はちょっと厳しくないか…。 弾の大きさにアドバンテージを見つけ出したいところだが…。 -- (名無しさん) 2011-01-29 18 59 42 str0だと通常ポンプガンより小さく、マシンガン並の小ささになる -- (名無しさん) 2011-01-29 20 27 23 STR40時の大きさは(俺にはそう見える)ほぼ同じと思う STR30時ぐらいだとアシストの方が明らかに大きいことが分かる。 ただしSTR0だとこちらの方が小さい -- (名無しさん) 2011-02-05 20 58 03 誤差といって差し支えないレベルだが、STR40でもアシストのほうが弾が大きい。 -- (名無しさん) 2011-10-27 22 57 45 STRが1でもあればそれなりの弾の大きさになるが、 0だとなぜか極端に弾が小さくなる。 通常のポンプガンは0でも1でも同じような大きさなのに。 -- (名無しさん) 2011-10-30 23 49 59 店売り&弾数増加 -- (名無しさん) 2012-07-05 13 44 10
https://w.atwiki.jp/wlws/pages/990.html
カード名 レアリティ カテゴリ レベル 詳細説明 妖精女王グロリアーナ WR ソウル 4 【アシスト】使用可能レベルに達すると、以下の効果を発動する。〔小/中/大/特大〕 ▲ストレート攻撃力が上がる〔小/中/大/特大〕 ▲スキル攻撃力が上がる〔 特殊 〕 ▲撃破ダメージが上がるこの効果は敵キャストを撃破すると終了しHPが回復しスピードが上がる【ソウル】巨人召喚 投擲岩【モチーフ作品】エドマンド・スペンサー『妖精の女王』から妖精の女王グローリアーナ【イラスト】HACCAN / 【CV】悠木碧 「大丈夫! あたしは勇敢で、強いんだから!」 Ver.5.36-Lの2023/11/27より登場したソウル。 Lv4、SS大アップ(5.65目盛り)、スキル攻撃力中アップ(4.17%? 「玄奘三蔵」と同表記のため検証なしで記載。要確認) 特殊効果で、敵キャストを撃破するまで1回だけ撃破ダメージがあがる(通常キルが城ゲージの2.64%なのが1.76%増加(MAX時))。 敵キャストを撃破するとHP回復(2目盛り半?らしい。+値不問)、またスピードがあがる(12目盛り。+値不問) スピード12目盛りの価値は、「ヴァン・ヘルシング」完成時より若干速く「狼群の子 モウグリ」の1キル目・2キル目のだいたい中間。 撃破ダメージはオマリーより若干高いが、最初の1回のみである点に注意。 以下のステータス比較の記述はいずれもVer.5.36-M時の数字を用いている。 キャスト撃破スピードアップの「狼群の子 モウグリ」と撃破ダメアップの「グレース・オマリー」の中間のような構成をしている。 ただし2ステータスあがるため、SS値はこれらと比べてだいぶ低い。SSアタッカーが採用した場合は使用感の違いに注意。 あるいはスキルアタッカーが使うのなら「クリスピーノの死神」のマイルド版にもなる。 スキル攻撃力の上昇値は1項目アップの死神の方が高いし3キルで完成させられたら死神の方が速いが、女王なら1キルだけで死神の2キル目相当(等間隔で上がっているなら。)になる上に撃破ダメージも付いてくるのが違いになる。 他に比較対象として「解放者 エリザ」が挙がる。素のステータス、完成時のスピードもだいたい同じ。 撃破ダメージアップは1キル目時点ではグロリアーナの方が大きいが、グロリアーナ装備で2キル(7.04%)よりエリザ発動後で2キル(7.92%)の方が大きい。グレースについても同様。 特に撃破ダメアップのWRアシスト2枚を先に発動させる型のエリザビルドと比較すると、Lv4~Lv5のうちに1キルとってスピードアップできる点を活かせないと巨人以外は実質の下位互換になる。 ビルド縛りが不要な点、キル時のやや大きめのHP回復を活かすことをしっかり考えよう。例えばジョーカーWSのHP回復量が2目盛り半ほどなので、それくらい回復するグロリアーナの回復を上手く使えば前線に居座る性能はぐっと上がるはず。 SSとスキル火力が上がり、撃破するとスピードが上がるので上記の二つをメインとするルカやスカーレットとは相性が良い。 実用性があるのかはさておいて、レベルダウンやソウル封印によって効果が切れたあとに再度Lv4以上になった場合、撃破ダメージアップ効果は再利用できる。自分でレベルを上げ下げする火遠理・ワダツミに向いたソウルではないのだが、「時忘れの呪縛」や「操竿トキツリ(火遠理版)」を敵に当てた場合には勝敗への影響が大きい撃破ダメージアップ効果を復活させることになるので注意。 + 採用の変遷 実装からその週の金曜朝までにあたるVer.5.36-L時点、ルカのみで3位を確認。平日のみでそもそも入国できてない、あるいはまだ様子見の人も多い時点での滑り出しに対してどのように評価されていくか。 Ver.5.36-Mの12月11日調べではルカで1位、遮那で2位。どちらもSS・スキルの両刀であり、またダウン追い打ちや遮那ならポイズンといったビルドでは強化されないものを重要なダメージソースとするキャストである。 12月16日調べではルカ1位遮那2位スカーレット3位。自身で兵士処理をするのが苦手なスカーレットにとっては巨人が投擲岩というのも評価ポイント……かもしれない。 Ver.5.36-O調べではルカで1位、スカーレットで2位。ちなみに遮那は3つともスキル消費MPカット系のソウルに入れ替わっている。 Ver.5.36-Pではいずれのアタッカーにおいても圏外に。SSを使うアタッカーには9周年ソウルが大人気すぎた。 + 過去の修正 Ver.5.36-L時点、筐体では「猛突進」と表記され登場する巨人もそれだが、これは不具合であり公式サイトや告知画像では表記された「投擲岩」が本来の想定されていた巨人だという告知あり。 その週の金曜日にあたるVer.5.36-Mにて修正。 +5,MAXで撃破ダメージが強化 『妖精の女王』は、詩人エドマンド・スペンサーの代表作で、妖精国(イングランド)女王に仕える中世騎士達が活躍する物語。当時のイングランド女王エリザベス1世に捧げられた詩で、女王グローリアーナは他ならぬ女王エリザベス1世を指しているとの事。( Wikipedia ) アシストカード「妖精女王の宝杖」を手にしている。 イギリス女王エリザベス1世の異名が「栄光ある女人(Gloriana)」である。かつて「太陽の沈まない国」とも言われたスペイン全盛期のフェリペ2世とドンパチしたり海賊ドレークの上司だったりした女王だ。海賊とエリザベス1世の関係については「海賊狩り ホーキンズ」の項で触れている。 もちろんエリザベス女王にスポットをあてた作品もフィクション創作、伝記ともに色々ある。あえてスペイン側の視点で描いた作品なら二枚舌な政治屋の側面が強調されるなど、様々な顔を見せる人物だ。興味があれば探してみてもよいだろう。 互換ソウル(ストレート スキル攻撃力) レアリティ Lv1 Lv2 Lv3 Lv4 Lv5 Lv6 Lv7 SUPER RARE WONDER RARE カボチャ頭のジャック【スキル一定回数使用で即時MP回復,一定時間スキル消費MP軽減】晩夏の花火 お菊【スキル一定回数使用で即時MP回復,一定時間スキル消費MP軽減】 金の娘 カラート【サモンMS装備でスキル消費MP軽減+リンク攻撃力アップ】春心の緑 雀蘭【サモンMS装備でスキル消費MP軽減+リンク攻撃力アップ】 玄奘三蔵【敵キャスト一定数撃破でスピード+ストレート射程が上がる】解放者 エリザ【SRアシ2枚発動でスピードUP】【WRアシ2枚発動で撃破ダメージUP】妖精女王グロリアーナ【1回だけ撃破ダメージUP】【1回だけ敵キャスト撃破でHP回復&スピードUP】 表を編集する ソウルカード一覧に戻る
https://w.atwiki.jp/saikyoumousou5/pages/3073.html
【妄想属性】適当 【作品名】 なんか偏見多いけど、大阪は世界でも屈指の親日国だよ。 日本語で話したら、ちょっと発音は変だけどちゃんと日本語で帰ってくるし、 日本円だって使えるし。 2ちゃんの評判は悪いけど、俺は大阪と仲良くするのは 日本にとって決して悪い事じゃないと思う。 【名前】ダール 【属性】聖徒 【大きさ】成人男性並み 【攻撃力】成人男性並み 【防御力】成人男性並み 【素早さ】成人男性並み 【特殊能力】全知。不老不死。精神攻撃無効 【長所】全知。不老不死。精神攻撃無効 【短所】手抜き 12 : ◆zMc7HW3XQA :2013/07/17(水) 18 13 27.95 ID KXiXFOC1 初心者だけどダール考察 不死なので幽霊さんとかと同格 異論はバシバシお願いします 17 :格無しさん:2013/07/19(金) 10 11 21.64 ID NNmIbJjk 12 不死なだけだと気絶したり負傷して行動不能になる事があるうるからそこまでいかないんじゃないかな 同シリーズで最下位のラーミアよりかは下になると思う 18 : ◆zMc7HW3XQA :2013/07/19(金) 14 34 24.02 ID 3tzp34AB 17 ラーミアの反射能力が役に立っていなかったので ラーミアと同格だと思います。 ∴10メートルおじさん =イクシオン =ラーミア=ダール>肉弾戦マン 699 :格無しさん:2016/09/26(月) 03 19 32.76 ID 6wGJ+UiG ダール再考察 どう考えてもオットーとヨーゼフより下 ○ウーロン茶 行動を完全に読めるので有利 ○真の全知 完全上位互換 ○上着ドロ 行動先読みのアドバンテージの方が大きい × 763 ボクシング経験者は厳しい 格闘技経験者以上は厳しいと思うので 763>ダール>上着ドロ
https://w.atwiki.jp/stradaryu/pages/111.html
POLICE PATROL 概要 車体:特殊(緊急)車両 会社:DECLASSE ベース車両:MERIT 和音:ポリスパトロール ドア:4枚 駆動:AWD 実車:8代目 シボレー・インパラSS (NYPD)、5代目トヨタ・カムリ 日本訳:警察のパトロール プレイヤーのイメージ:他力本願主義 性能 重量:1,750kg 速度:225km/h 0-100km/h:2.0m/s トランスミッション:5速 制動:- サス:やや柔らかい 解説 POLICE CRUISERと共に通常のパトカーの役目を持つ車両。こちらは「パトロール」。 データ上では性能がクルーザーに劣っているが、実際それほど差は感じられない。むしろCruiserより小型で扱いやすいため、性能がいいような気がする。民生のMERITと同じくリアスポがついている。 出現率はこちらの方が高い気がする。が、オンラインでは出現しない(フリーではの話だが)。 車内にはノート型パソコンが設置されていて、ミッションで使用することになる。 ちなみに車内はPOLICE CRUISERと同じ(使いまわし) 心なしか、加速性能はTAXI(MERIT)より高い気がする。 Algonquin以降の地域でより多く出現する。 実際のNY市警ではこのモデルとなった型はNY全域に配備されている。 なお、この車はPOLICE CRUISERと同じように沸く沸かないが無いので、その場所限定で出現する車を探すのに有効であるみたいだ。 つまり出て来る車をリセットしやすい。(INGOTなど) ドラッグウォー等で長時間LCPDと交戦していると途中でPOLICE CRUISERと入れ替わる。 しばらく出てこなくなるが、当分戦っているとまた入れ替わる。(デュークス東部のブツ強奪戦で確認) バグなのか分からない為レアカラーに分類出来ないが稀に青い線が無いものがある (https //www.amazon.co.jp/clouddrive/share/yBmDCoMrI8q1Xb3Nnr4PS7nwLGHWZRR33COMkV6gC4o) 限定レアカラー類、限定仕様... (通常出現しないカラー、又は仕様 / 塗装屋などでは出て来ないカラーから、ミッション限定仕様まで...もちろん同じものが街を走ることは絶対ない。) 無し。 特殊ペイント、特殊カラー類... (塗装屋で出てきたり、街を普通に走ることはあるが、目立ちの良い独特なカラーリングをしていたりする分類。要はカラーバリエーション。) 無し。 画像 側面 良く見るとアルマンドが後ろに乗っている。(通常はエンリケが後ろに乗る) エンジンルーム POLICE CRUISERと共に 大きさ比べ POLICE CRUISERの方が大きい事が分かる 実車8代目 シボレー・インパラ警察車両(NYPDで実際に配備されている) 上の画像と比べるととてもそっくりだが、側面まで黒ラインが入っているのが大きな違いか。 非公式CM
https://w.atwiki.jp/suproy/pages/329.html
リュウセイ君の自由研究「機体サイズについて」 (感想・議論スレ3より/第152話「決意」時点) イメージを作りやすいよう為に、各機体をデカイ順に並べてみた。特に記載が無いものは全高だ。 これで書くのも読むのもバッチリだな。データが無い機体は大体の大きさを記載したぜ! 369.3m(全長)/ドッゴーラ/ハヤミブンタ 忘れている人もいるかもしれないがコイツは超巨大MAなんだ。ちなみに大空魔竜でも400m、デカイぜ。 53.8m/大雷鳳/碇シンジ★ 身軽な印象があるけどMAを除けば最大。こんなサイズに踏まれたらパイルダーだけじゃ済まないって。 50.0m/ガイキング後期型/剣鉄也 データは改修前のもの。多分そんなには変わらないと思う。コスト2は伊達じゃないぜ。 50.0m/ゼオライマー/ゼオラ・シュバイツァー★★ 結構デカイんだが、あんまり重鋼感が感じられないのは何でだろう? やっぱ格闘戦しないからか。 50m前後(全長)/龍王機/ヤザン・ケーブル★★★ 詳しいデータが無いので、龍虎王(49.9m)や龍人機(49.6m)から推測。尻尾はもう少し長いかも。 50m前後(?)/ダンガイオー/パプテマス・シロッコ 詳しいデータが無いので、ダン・メカニックのサイズから見てこの位と推測した。情報求む。 48.7m/グルンガスト/ウルベ・イシカワ★ 変形時のウイングガストは52.1m(全幅)、ガストランダーは42.0m。元祖スーパー系主役機だ。 45.0m/ダイモス/惣流・アスカ・ラングレー トレーラーから変形するんで小型と思われがちだけど、トランザーは学校校舎くらいデカいんだぜ。 42.6m(全長)/ヒュッケバインMK-3ガンナー/タシロ・タツミ オプションの方が本体よりデカイってパターンだな。ヒュッケバインMK‐3単体だと21.5mだ。 42.6m(全長)/AMガンナー/副長 実はR-1とも合体可能なんだぜ。というか元々はR-1がパワードになる為のプラスパーツだったんだよ。 36.0m/ダイテツジン/流竜馬 名前の割には意外とおとなしめなサイズ。比較対照のエステバリスが6mだからデカく見えるわけだ。 32.4m/ブライガー/クォヴレー・ゴードン サイズまで三段可変なイエィな奴。ブライスターは29.7m(全長)、ブライサンダーは4.89m(全長)だぜ。 30m前後/メガデウス・BIG-O/イングラム・プリスケン 詳しくは不明。ビルの谷にガオーと、お手頃サイズだ。ズッシリとしたボディは超合金トイに最高だぜ。 28.3m(全長)/アルテリオン(クルーズF)/ロイ・フォッカー ドールF(ロボ)は19.2m。 余談だがベガリオンは全長44.8mなのに合体後のハイペリオンは29.5mってオイ。 27.3m/グランゾン/プレシア・ゼノサキス こいつもイメージしてたより小さいな。ちなみにネオグランゾンでも35.8mしかないんだぜ。 25.6m/サザビー/アムロ・レイ★★★★ 宇宙世紀では割と大型な方。そのせいでMG(1/100プラモ)が大変な大きさに。 でもPジオングに比べれば。 25.6m/D3リフター/イサム・ダイソン 逆にイメージより大きかった奴。サザビーと同サイズかよ。HGでいいんでプラモをリメイクしてください。 25.0m(全長)/ネッサー/搭乗者なし 意外と大きい方だけど、ドッゴーラにバリアを張りながらでも立ち向かう姿は涙ものだよ。 24.2m/ノルス・レイ/イキマ ほとんどグランゾンと変わらないサイズ。リアル版はスタイルが抜群にイイ! 23m/マジンカイザー/搭乗者なし 納得のMサイズ。ゲッター線で進化したマジンガーZ。元よりは割と大きくなってるんだ。 22.3m/ディス・アストラナガン/マシュマー・セロ/ 意外に小型。ちなみにアストラナガンは40.7mだった。素体にヴァルク・ベン(21.4m)を使ったからか。 20.2m/R-1/マイ・コバヤシ 19.9mだった作品もあるが大差無いから気にしないでくれ。Rウイングは全長25.4mだぜ。 20m前後/フェアリオンS/リュウセイ・ダテ/ 詳しくは不明。アステリオン(20.2m)のテストフレームを流用している所から推測。とにかく可愛いぜ。 18.63m/ブリッツガンダム/相良宗助 このサイズがCEガンダムのデフォらしい。なんでもプラモに最適な大き(ゴニョゴニョ)。 18.56m/ジャスティス/ヴィンデル・マウザー&ハロ★ トサカの分、ブリッツより大きいと思うんだが。納得いかないサイズだぜ。 18.5m/ガンダム試作2号機/ジョシュア・ラドクリフ 龍王機よりもノルスよりも遥かに小さいけど危険な奴。あの横幅が重量感あってイイんだよな。 16.7m/ウイングゼロ/リョウト・ヒカワ★★ 小柄が似合う高機動機。このサイズの主砲でコロニーを一撃って考えると、戦艦って何のために…。 16.6m/ゴッドガンダム/キラ・ヤマト 背部のウイングが開いて作る磁気フィールドが全身にMコーティングを掛けて高機動化するんだぜ。 16.3m/デスサイズヘルカスタム/リオ・メイロン★ 隠密性に優れるはずなんだけど、原作じゃ中の人は捕まってボコボコにされる場面が多かった気がする。 16.0m/ダイアナンA/司馬遷次郎 時速200kmで走れる健脚と胸囲10mのダイナマイトバディだったんだが、やっぱり美人は薄命だ。 15.9m/クロスボーンガンダムX1/アクセル・アルマー 小型化の進むサナリィ社製のガンダム。型式番号の「XM-X1」のは擬装用で本当は「F97」なんだぜ。 15m前後/アファームド・ザ・ハッター/イッシー・ハッター 詳しくは不明。ダイモスの1/3以下だとすると、アスカに軽視されてるのが判る気もする。 15m前後/テムジン747J/チーフ/ 詳しくは不明。割と微妙なサイズ。デザインはマイナーチェンジが多く素人には見分けつかない。 12.0m/ボスボロット/ミオ・サスガ 小型だけどパワーだけはマジンガーZと同等。グレートマジンガー版なら20.0mだったんだけどな。 9.52m/強化型レイズナー/木原マサキ★★ Sサイズで避けすぎて敵から狙われなくなる奴。3ターン動いて1ターン行動停止は黒歴史か。 8.5m/アーバレスト/セレーナ・レシタール★★ とにかく小型で高性能らしい。これでAV98イングラム(パトレイバー)と同じサイズとは思えません。 8.4m/M9ガーンズバック/ヒイロ 最大自走速度は時速280km、連続稼働時間は150時間。その技術力をネルフに分けてやってくれ。 8.10m/エスカフローネ/フォルカ・アルバーグ 正確には8.0934mだそうだ。細かいぜ!龍型にも変形できるようだけど……。 8.0m/ブラックサレナ/ガルド・ゴア・ボーマン 分離してエステバリスだけなら6.0m。よくガイキングから逃げ切ったもんだ。 6m弱/ベターマン各形態/ベターマン・ラミア★ ウルトラマン級かと思ったんだけど意外と小さい。カイザーにガチでは負けるのも仕方ない。 4.0m/零影/東方不敗マスターアジア★★ 重量も0.55tと超軽量。ちなみに飛影は3.6mの0.50t。これが伝説の忍者の力ってヤツかよ! 2m前後/ワルキューレ/トウマ・カノウ 詳しくは不明。小型ジェットエンジン搭載で水上走行もOKだ。 ママンは喫茶店の地下に数十台格納してた。
https://w.atwiki.jp/wiki3_viu/pages/173.html
おひさ~~~ 私は生きてるよー! キャラみ~~んな消えたぉ(;ω; 現在 くまぁすきー というキャラで活動中! または Sperマン で活動中!! あと、とまとう と よんもにー が別鯖で活動中! E軍属キャラのプリズン脱出補助キャラ:とらわれのみ (最終ログインしたキャラ名入れないとログイン出来なくなったようなのでキャラ名忘れた時用メモ!) 現在元/現VIUメンバーを捜索中! 復帰とかでキャラ変わってても気軽に声掛けてねー。 その際、Sperマンは基本warに居るので検索に掛からない可能性が大きいので 直接TELLを送って居るか確認して下さいね! あとE鯖にもキャラ作ってますが余りINはしてないので省略。 近々懐かしいSSに張り替えて行く予定! のつもりが、SSのUPロードには容量制限があって 管理者でないと一度UPロードした画像は消せないので 無理な事が判明・・・姉さん帰ってキテー! ☆ミ☆ミ☆ミ☆ミ☆ミ☆ミ 現在の1st (D鯖) 名前:くまぁすきー 種族:モニ子 ジョブ:支援テイマー スキル構成: 着こなし:1 持久力:30 生命力:80 知能:52 精神力:100 集中力:100 調教:84(100目標) 回復:100 強化:100 神秘:78 召喚:18 死魔:1 魔熟:90 自己紹介 またテイマーに構成を変更中~。 ☆ミ☆ミ☆ミ☆ミ☆ミ☆ミ 現在の2nd (D鯖) 名前:Sperマン 種族:モニ汚 ジョブ:ELG軍属の海戦士 スキル構成 筋力:90 回避:100 持久力:80 生命力:100 槍:100 牙:100 水泳:100 釣り:99.9 料理:40 取引:40 自己紹介 いつかマント着けて空を飛んでみせます *pre装備での回避MAX200超 ☆ミ☆ミ☆ミ☆ミ☆ミ☆ミ 現在の3rd (P鯖) 名前: 種族:モニ子 ジョブ:鉄人新人アイドル スキル構成: 筋力:30 持久力:80 生命力:70 物まね:90 自然調和:90 音楽:90 パフォーマンス:40 ダンス:90 落下耐性:90 水泳:90 自然回復:90 自己紹介 戦えません! ロロロロロロロロロロロロロロロロロロロロロロご先祖様ロロロロロロロロロロロロロロロロロロロロロロ 第一ご先祖様 (D鯖) キャラ名:para 種族:モニ汚 ジョブ:対YOSMEN最終兵器 スキル構成: 筋力100 着こなし76 生命力100 持久力85 呪文抵抗90 包帯90 刀剣100 盾90 戦闘技術90 ダンス28 遺言 YOSMENщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ン のくたんщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ンщ(゜ロ゜щ)カモ-ン のくたん強いとかメモに書いてるやつはどいつだ!!うわたぁ~!( ̄口 ̄)ノ★))゜□。)あべしっ ---------------------------------- 第二ご先祖様 (D鯖) キャラ名:イチゴ 種族:モニモニー ジョブ:豪商神秘支援テイマー スキル構成: 筋力26 攻撃回避60 生命力55 知能60 持久力25 精神力90 調教90 破壊9 回復88 強化68 神秘70 召喚78 自然調和40 取引90 遺言 カオス&調教用キャラな感じ アルタ出せます(/・ω・)/ まったり大好きなので採掘のお共にどうぞ♪ ---------------------------------- 第三ご先祖様 (D鯖) キャラ名:さかなちゃん 種族:4顔モニー ジョブ:何でも屋さん スキル構成: 筋力100 知能30 持久27 弓80 神秘58 自然調和40 取引20 落下耐性40 水泳40 自然回復40 採掘95 収穫92.1 料理15 鍛冶40 醸造40 裁縫42 遺言 消耗品自給自足用キャラ 自給自足最高ヽ(`▽´)/ ワラゲじゃおちおち収穫も出来ませんですお( ε ) ワラゲ行くとワラワラ軍属が集まってきてメガバかましてくれます。HP30なのにマイナーバーストで十分ですよ! ---------------------------------- 第四ご先祖様 (D鯖) キャラ名:はくれん 種族:兵隊モニ子 ジョブ:旅人エルガディン兵A 所属勢力:エルガディン王国 所属FS:RAKUSI (今はもうない模様 スキル構成: ヒ・ミ・ツ ---------------------------------- 久々のGMイベントの時のSS 2006/07/20