約 1,950,494 件
https://w.atwiki.jp/nihonjindakedo/pages/109.html
59 名前:名無しさんの主張[sage] 投稿日:2012/05/22(火) 23 32 03.96 ID ??? 日本人はまずしたい事があって その為に理屈をつける 増税の理由があったその為にギリシャの例 518 名前:名無しさんの主張[sage] 投稿日:2012/05/26(土) 02 00 25.85 ID ??? ナマポにしても単純な理屈。 働かない方が働くより得なんだから働かない(当然の理屈)。 じゃあ働く方が得にすればいいのにブラック国家はしない。 民間はデフレで疲弊しきってるしナマポも支給額下がりそうだ。 なのに増税する。 肝心の税収を食い潰す公務員給与の先進諸国並みの半分引き下げはしないし、 官民みんな揃ってここだけ見えないフリするw 訳分からんな、所詮ヤクザ国家だからか。 つーか放射能もあるし、こんな国さっさと脱出した方が良い。 643 名前:名無しさんの主張[sage] 投稿日:2012/05/26(土) 19 01 13.78 ID ??? ナマポの不正受給は確かにいけないし罰するべきだと思う。 でも公務員給与削減の話にはならない。 ナマポ:3兆円 公務員給与:400万人×800万円=32兆円(人件費のみ)、その他利権諸々 どっちも原資は同じ税金だし、どっちを削減すべきなのかは幼稚園生でも分かる事なのにw 終わってる。
https://w.atwiki.jp/ratopia/pages/137.html
基礎データ 名前 ネズミの神壇 カテゴリー 王室 建築資源 x 4, x 4, x 4 建築費用 50 サイズ 幅1x高さ3 前提研究 王室のベッド 研究コスト 1 耐久力 100 市民が必要 × 地面が必要 〇 効果 パッシブ:指導者とぶつかる市民の未納税金を自動徴収します。 ネズミの形を模写した神壇。ネズミの力を借りて技術を使うことができる 説明 常時発動されるパッシブとキーを押して発動するアクティブスキル両方が使える。 パッシブ オールフォーワン:指導者とぶつかる市民の未納税金を自動徴収します。 アクティブスキル ワンフォーオール:スキルのキーを押し続ける間、1秒ごとに指導者周辺の市民に10ピアずつ与えます。(連続で5回以上与える場合、金融治療効果付与)(CT12時間) Tips TODO
https://w.atwiki.jp/peaceonpeace/pages/77.html
続いてもう一つの問題、すなわち全体を俯瞰する視点の欠如について考えよう。 例えば今、憲法改正が大きく取り上げられている。ところが焦点とされているのは9条のことばかりだ。9条は議論しやすいという側面があるからだろう。軍備や自衛隊をどうするかといった具体的なテーマを話し合えるからだ。これは教育基本法改正案で、愛国心に関する文言でもめるのと根本は同じである。 だが、憲法を改正するときに、9条だけの議論で進めていいのだろうか。憲法を論ずるときに、本来必要な議論とはどういうものだろうか。そのことから改めて検討するべきではないか、というのがわたしの考えだ。日本の憲法学者というとみんな解釈ばかりしている。何しろ英国やドイツと日本の憲法の比較というようなテーマが研究論文になってしまう国が日本なのである。それに意味がないとは言わないが、そればかりに熱心だと「憲法にはどういう項目があればいいのか」という視点が欠如しかねないことは指摘しておきたい。 もうかなり以前のことだが、わたしは『平成維新』という本を上梓した。「大前研一が国家運営の新理念を書くとこうなる」と、米国の独立宣言を書いたトマス・ジェファーソンになったつもりで執筆した。おかげさまで評判もよく、京都大学の著名な憲法学者が「こんなもの初めて見たよ」と驚いていた。「おそらく学会でも初めてではないか」と言われたが、わたしは学者ではないのだ。 『平成維新』を執筆するにあたって、わたしは各国の憲法を調べてみた。それで驚いたのは、国によって憲法の概念がまったく違っていたことである。スイスやイタリアでは、社会におけるテレビの役割なんてのも憲法に書いてある。米国では昔の憲法のまま、新しい項目を修正条項として追加できるようになっているので、憲法改正(と言うより追加)が比較的やりやすい。そこから見えるのは、憲法が国民の生活全般を規定しているということだ。憲法に、あるべき国民の姿が描かれているのである。 これに対して、日本の場合は憲法を語るためのフレームワーク(骨組み、骨格のこと)がない。現在の憲法は、明治憲法を下敷きにしながら、占領軍が書いたものである。ということはフランス革命のときの人権宣言、それを踏襲したアメリカ独立宣言、アメリカ合衆国憲法という流れの上にある。それは個人の基本的人権が重要だった時代だ。だから主として国と個人の関係だけが書いてある。しかし、市町村と個人、都道府県と個人の関係は書いていない。でも、税金は市町村や都道府県も取る。関係が定義されていないのに税金を取る権利がなぜ生まれるのか。また、家族についても24条にちょっと書いてあるだけ。ところが、肝心の家族の定義、家族の責任、国と家族の関係、親の責任や義務、なども憲法には書かれていない。 何より一番の問題は、日本と他の国の関係が憲法から抜けていることだ。戦争を紛争解決の手段としないとか、他の国から尊敬される国になりたい、という思いが書いてあるにすぎない。それは戦争に負けて、内向きになった時代に作られた憲法だからだろう。今の日本人を見ると、世界と没交渉。まさに憲法に書いてあるそのとおりの内向きの国になったといえる。しかし、(いろいろと問題はあるにせよ)世界有数の金持ちになった日本が世界に対して何をするべきなのか、が全く触れられていない。地球村の住人として、また恵まれた先進国として、日本の役割は何なのか。理想でもいいから国民が世界とどう向き合っていけばいいのか憲法の中でうたうべきだと思う。
https://w.atwiki.jp/teitoku_bbs/pages/76.html
535. ひゅうが 2011/11/06(日) 17 48 55 提督たちの憂鬱支援SS――東北航空小史1 1930年4月 アメリカ ペンシルバニア州ウィリアムズポート 「では、我々に、日本へ移転しろと、そう仰るのですか?」 「その通りです。」 はぁ。とエリオット・ローバンは溜息をついた。 大恐慌が世界を覆う中、実業家である彼の持つ事業は次々に赤字となっていた。 ニューヨークで行われていた彼の有線放送事業は破産寸前であり、またフォードなどの大企業の自動車やレールカー向けに行っていたガソリンエンジン供給も、今年はまだ発注がゼロだ。 加えて、世界恐慌前にはじめた航空機用エンジン開発も負担を大きくしていた。 ボーイングやライトなどの大手なら、いや、グラマンやヴォート、リパブリックくらいの体力があれば生き残ることはできただろう。 だが、彼らは運に見放されてもいた。 まず、工場が辺鄙なところだった。ペンシルバニア州の郡都で、サスケハナ川という大河を利用した製材・輸送業で栄えたウィリアムズポートは19世紀末には衰退し、巨大な製材工場の跡地を利用し、Demorest社というミシンメーカーが工場を構えた。 だが、五大湖沿岸に近いペンシルバニアでもこのウィリアムズポートは交通の整備が遅れており、ミシン工業から発展して自動車エンジンの部品製造にはじまる自動車産業が興りつつあったもののその地位はデトロイトなどの巨大工業都市に奪われつつあった。 ――裏を返せば、それだけ発展余力があるのだが・・・とローバンは嘆息した。 政府は北部は自由という名の自助努力を推奨しているし、近頃はテネシー川や西海岸に巨大ダムを造るという「国土の東西均衡のとれた発展」を志向している。 彼らのような、中小メーカーのことまでいちいち構っていられないのだ。 「ミスター・ローバン。」 「エドで構いませんよ。ドクター・クラサキ。」 ありがとうございます。と、極東の島国からやってきた倉崎重蔵という名の機械メーカーのオーナーははにかんだ笑みを見せた。 だいぶ年はとっているが、その目は少年のように輝いている。 マンチュリアで数年を過ごしたことのあるエリオット・ローバンは、旅行した先の日本で時折見かけた同じ色の目に顔をほころばせた。 彼らの笑顔は、ときどきしか見られないがその分とても輝いている。 フロンティアスピリットといえばそれまでだが、あの国を自分たちがこれから作るのだという希望に燃え、それを実現してきた男たちの目だ。 ローバンは、そういう色が好きだった。 「我々は、御社とともに飛行機を作りたいのです。」 倉崎氏は言った。 「わが国は、半世紀あまりの努力のすえ、自分で船を造り大洋を渡り、世界と繋がることができるようになりました。ですが――」 倉崎氏は言った。 まだまだ発展途上国である我々は、自分の夢を形にできるだけの技術力がない。 聞けば、大恐慌の中で今にもついえようとしているメーカーはこの新大陸にたくさんあるという。 あなたたちの力を貸してほしい。と。 「メーカーが移転となれば、技術だけ持っていって我々はお払い箱という形にはなりませんか?」 ローバンは、メインバンクのデューセンバーグ銀行から事業売却をせっつかれていた。 そこへ来たこの東洋の人間。売却は規定路線だったがそれでも懸念はあった。 なんとなれば、今、この生産ラインで腕を組み倉崎氏をにらんでいる工員たちはローバンと一緒に空への夢を追いかけてきた仲間だ。 536. ひゅうが 2011/11/06(日) 17 51 47 「いえ。そうはしません。詳しいことは書面にしますが、基本的にあなたがたには日本へ来ていただき、雇用を継続したいと思っています。また、退職を希望される方には我々負担で退職金も出します。」 「そいつはありがたいが、でもよう。ジャップ・・・いや失礼、ニップのことを俺らは知らない。言葉の問題もあるし、何よりあんたがた、そんな金どこに持ってたんだ?」 労働組合の議長で、ローバンとは「それなり」に仲のいいレントン・バースが精いっぱい分かりよいようにゆっくり喋った。 日本人はペンシルバニア訛りの強い英語(アイリッシュ英語に近い)が聞き取りづらいだろうと配慮したのだ。 「1兆ドル・・・これが我々の資産の元手となります。」 倉崎がにやりと笑った。 「い・・・一兆!?」 「まぁ正確には、我が国の国家元首が保有される個人資産――土地や金、家伝の美術品などをあわせたものですが。それを担保にしてお金を借り、運用を政府や今後成長を見込まれる分野に投資されたというわけです。 我が国はまだまだ外国から学ぶべきことが多いですからね。」 「ひゅ〜っ。そいつは豪気だ。エンペラーってだけあるわな。」 「あ。これは公言しないで下さいね。それと、給料などについても相談に応じますし、ご家族などには宿舎も支給します。メイドは付きませんが、日本で暮らしていただけるなら日本語などの講習は行いますし、望まれる方には高等教育も提供いたします。」 工場に集まっていた工員たちやエンジニアがざわつく。 「・・・そこまでしていただける理由は、何でしょうか?」 ローバンは半信半疑で問うた。破産会社の責任者として裁かれる覚悟できたのに、いつのまにかこんな話を聞かされて戸惑っているのだ。 「『空への希求』。私とノースロップ氏がともに抱く夢です。同じ夢を見ようという皆さんは、私たちと志を共にするのですから、それを遇するのに何の不足がありましょう?」 日本では、徳田球一らの尽力により実現しつつあった労使協調型の終身雇用制度。 それは、アメリカにとっては目新しいものだった。だが、倉崎は空への希求という言葉でそれをひとくくりにした。 なるほど。ノースロップもあっちに行くのか。とローバンは納得した。 ならば、労働者の待遇はよくしなければ。でなければライバル会社にノウハウもろとも貴重な労働力をとられてしまう。 「私は、太平洋と北米大陸を超えて1日で人を地球の裏へ送る飛行機を作りたい。一緒にやりませんか?」 ――1930年6月。日本帝国の東北地方、宮城県仙台市に新たな企業が誕生した。 倉崎グループの一角を構成する航空機メーカーであり、のちにはロールスロイス社が作り上げた「マーリン」エンジンをライセンス生産し、さらには発展型の「グリフォン」エンジンやそれをはるかに上回る「セイバー」エンジンを量産するという快挙を成し遂げた。 巨大な爆撃機「富嶽」の心臓部を安定して生産し、日本初のジェットエンジンの燃焼室部分を作る高度なエンジンメーカー。 創業の地であったウィリアムズポートのある郡の名をとったそのメーカーの名を、 (株)ライカミング・倉崎・エンジンという。 【あとがき】――世界恐慌の中でけっこうな企業を買収した描写がありましたので投稿。 ライカミングはその筋ではXR7755という2.7トンの怪物エンジンを作った会社として有名ですが、 CH-47のエンジンも作っているなかなかいいメーカーです。 ノースロップ社の移転話を見て思いつきました。
https://w.atwiki.jp/wiki6_yae/pages/1990.html
#blognavi 朝方大きな通り雨があり農業は出来ませんでした。 水漏れしている鯉の池、昨日完全に水抜きしてあるのを女房がデッキブラシで水苔やゴミを洗い流してくれました。 綺麗になった水なし池の中に入り水漏れ箇所の点検をやりましたがズバリここと言うような所は見当たりません。 40年位なるのでコンクリートの劣化の可能性もあります。 これから全面コンクリートを上塗りするにはデコボコやオーバーハングなども有り素人の私には荷が重過ぎるような気がします。 名護の防水工事専門業者に電話したら明日見に来る事になりました。 どの位の経費が掛かるのか見積もりしていただく事にしました。 子供プールみたいな材木製のビニール張り仮池が決壊して2トン位の水と供に鯉が凡そ50匹地面に散乱物凄い洪水となってしまいました。 女房と2人パニック状態になりながらも取り合えず母屋の風呂場からステンレスの風呂桶を持ち出し水を貯めながらバケツや素手で鯉を収容しました。 15分位掛かりました、その間、土や砂利の地面でのたうちまわっていた鯉達は傷が有ったり泥まみれなったり、と悲惨な目にあわせてしまいました。 収容はしましたが大きめの4匹と中位の3匹が死んでしまいました。 今朝起きた時見回ったら昨日の移動作業で暴れて落下して傷ついた3匹が死んだばかりだったので本当にごめんなさいと言う気持ちになりました。 私の不手際が全ての原因でした、心からお詫びし、ご冥福を祈ります。 風呂桶では小さすぎて酸素不足で水面で口パクパクさせているので急いでコンプレッサーで空気を送り、水も流しながら急いで仮池の復旧に掛かりました。 5センチ10センチ2×2材木で骨組みしてあり、80ミリの木ネジ2本づつで4隅を固定してありましたが一角の木ねじが破断していました、水の圧力の大きさにビックリです。 念のため100ミリの木ネジ3本止めにして尚且つ周囲をワイヤーロープで補強しました。 夕方6時ごろから注水始めましが湧水だけでは時間が掛かりすぎるので半分位は公営水道水も入れました。 9時ごろに所定の水量になりましたが直ぐ移すのは水道水が混じっているので出来ません。 しかし狭い風呂桶も可哀想で問題ありそうなので悩んでいましたが午後11時過ぎ中位の3匹移しました。 これで様子を見て明朝判断する事にしました。 こんな長い日記を書いたのは初めてのような気がします。 読んで下さった方々にお礼とご苦労様を申し上げます。 カテゴリ [日記] - trackback- 2008年09月01日 23 51 02 名前 コメント #blognavi
https://w.atwiki.jp/kumikomi-yitjc/pages/77.html
7行5列の点の集合によって文字や記号を表示することができる。 例) ! の表示例 ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ ■ 点の集合体で文字や記号(キャラクタともいう)を表示手法を、ドット・マトリックス(ドット=点、マトリックス=集合)と呼ぶ。 現在、画像表示できるディスプレイのほとんどは、この、ドットマトリックス表示により、画像や文字の表示を行っている。 ■マイコンとLCDの接続 H8マイコンとLCD(SC1602xxx及び、その互換品)は、以下のように6本の信号線で接続されている。 図からわかるように、LCDはH8のポートBに接続されているので、ポートBの信号を操作すれば、LCDにデータを送ることができる。 PB4(RS)とPB7(E)は制御用の信号線で、データはPB0(DB4)~PB3(DB7)の4ビット単位で送信する。 8ビットのデータを送りたい場合は、上位4ビットを先に送り、その後、下位4ビットを送信する。LCDにデータを転送するには、 ① EをHi(1)に設定する。 ② DB4~DB7に送りたいデータを設定する。 ③ EをLo(0)に設定する。EがLoに切り替わった瞬間のDB4~DB7の値が、LCDに読み込まれる。 ④ 以下、①~③を必要なだけ、繰り返す。 たとえば、0x23をLCDに転送したい場合は、以下のようにする。 ...... PB_DDR = 0xFF; // ポートBを出力に設定 ...... // まず、上位4ビットの0x02を送信 // 0x02(PB0~PB3)とイネーブル信号(PB7)を1にして送信PB_DR = 0x02 | 0x80; // 0x02(PB0~PB3)とイネーブル信号(PB7)を0にして送信 // このタイミングでLCDはPB0~PB3の信号を読み込むPB_DR = 0x02; // つぎに、下位4ビットの0x03を送信 // 0x03(PB0~PB3)とイネーブル信号(PB7)を1にして送信PB_DR = 0x03 | 0x80; // 0x03(PB0~PB3)とイネーブル信号(PB7)を0にして送信 // このタイミングでLCDはPB0~PB3の信号を読み込むPB_DR = 0x03; ■LCDのコマンド LCDに対して、コマンドを送ることで何らかの操作を行う事が出来る。コマンドには、以下のようなものがある。 なお、コマンド操作では、RSを0とすること。 クリア・ディスプレイ 表示を全てクリアして、カーソル位置も先頭位置に戻す。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 0 0 出力(2回目) 0 0 0 0 1 ※出力(1回目)は上位4ビット、出力(2回目)は下位4ビットを転送している。 カーソル・アットホーム カーソルを先頭位置に戻す。表示はクリアしない。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 0 0 出力(2回目) 0 0 0 1 - ※ - は不定値(1でも0でも良い) エントリーモード・セット 1文字分の文字データが入力された時の挙動を指定する。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 0 0 出力(2回目) 0 0 1 I/D S I/D : 1 の時は新たな文字表示が右側に追加され、 0 の時は左側に追加される S : 1 の時は新たな文字追加に伴って画面表示全体もシフト ディスプレイ・オン・オフ・コントロール 文字表示のON/OFF、カーソル表示のON/OFF、カーソル位置の文字のブリンク(点滅)ON/OFFを指定する。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 0 0 出力(2回目) 0 1 D C B D: 1 の時は文字表示ON、 0 の時は文字表示OFF C : 1 の時はカーソル表示ON、 0 の時はカーソル表示OFF B : 1 の時はカーソル位置の文字がブリンク表示ON、 0 の時はOFF カーソル/ディスプレィ・シフト カーソル位置や、表示全体をシフトさせる。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 0 1 出力(2回目) 0 S/C R/L - - S/C : 1 の時は表示全体をシフト、 0 の時はカーソル位置だけをシフト R/L : 1 の時は右側にシフト、 0 の時は左側シフト ファンクション・セット データ幅、表示行数、表示フォントをイニシャル指定する。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 0 0 1 DL 出力(2回目) 0 N F - - DL : 1 の時は8BIT幅モード、 0 の時は4BIT幅モードによる通信 N : 1 の時は2行表示、 0 の時は1行表示 F : 1 の時は5x10dotフォント、 0 の時は5x7dotフォント DDRAMアドレス・セット 文字の表示位置、カーソル位置のアドレスを設定する。 信号線 RS DB7(DB3) DB6(DB2) DB5(DB1) DB4(DB0) 出力(1回目) 0 1 b6 b5 b4 出力(2回目) 0 b3 b2 b1 b0 b6~b0でDDRAM(Display Data RAM:表示データメモリ)のアドレスを指定する。 このLCDコントローラは上段1行目と下段2行目のそれぞれに40文字ずつ、合計80文字の表示が可能。この表示位置を決めるDDRAMアドレスは以下のようになっている。 ■初期化処理 始めに初期化を行ってから、使うこと。手順は、以下の通り。 ■文字の表示 文字データも今まで同じように上位4ビット、下位4ビットの順に送信する。ただし、RS(PB4)を1にする必要がある。 ※コマンドならRSは0、文字データならRSは1。 以下に、LCDに#(文字コードは0x23)を表示する例を示す。 // まず、上位4ビットの0x02を送信 // 0x02(PB0~PB3)とイネーブル信号(PB7)を1に、RS信号(PB4)を1にして送信PB_DR = 0x02 | 0x80 | 0x10; // 0x02(PB0~PB3)とイネーブル信号(PB7)を0に、RS信号(PB4)を1にして送信 // このタイミングでLCDはPB0~PB3の信号を読み込むPB_DR = 0x02 | 0x10; // つぎに、下位4ビットの0x03を送信 // 0x03(PB0~PB3)とイネーブル信号(PB7)を1に、RS信号(PB4)を1にして送信PB_DR = 0x03 | 0x80 | 0x10 | 0x10; // 0x03(PB0~PB3)とイネーブル信号(PB7)を0に、RS信号(PB4)を1にして送信 // このタイミングでLCDはPB0~PB3の信号を読み込むPB_DR = 0x03 | 0x10; 文字コードは、以下の通り。 ■サンプルプログラム LCDの初期化を行い、OKと表示する例を以下に示す。 #include monitor.h #define PB_DDR (*(volatile unsigned char *)0xFFFFD4) #define PB_DR (*(volatile unsigned char *)0xFFFFD6) #define PA_DDR (*(volatile unsigned char *)0xFFFFD1) #define PA_DR (*(volatile unsigned char *)0xFFFFD3) #define RS 0x10 //レジスタ選択 コマンド(設定)の時:0 文字を送る時:1 #define ENA 0x80 //イネーブル 1で出力して0にしてまた出力 // mesc間なにもしない時間稼ぎ関数 void msecwait(int msec) { int i,j; for (i=0;i<msec;i++) // < は全角文字であることに注意 { for (j=0;j<1588;j++); // < は全角文字であることに注意 } } int main() { PA_DDR = 0x00; // 全てのビットを入力ポートに指定 PB_DDR = 0xFF; // 全てのビットを出力ポートに指定 // LCD初期設定開始 // H8起動してからLCD初期化処理までに15msec以上待つ msecwait(50); // 0x03を送信する // ENABLEビットの立下りで信号を認識するため // ENABLEビット1とENABLEビット0を送信しなければならない PB_DR = 0x03 | ENA; // ENABLEを1にして0x03送信 PB_DR = 0x03; // ENABLEを0にして0x03送信 // 4.1msec以上待機 msecwait(5); // 0x03送信 PB_DR = 0x03 | ENA; // ENABLEを1にして0x03送信 PB_DR = 0x03; // ENABLEを0にして0x03送信 // 0.1msec以上待機 msecwait(1); // 0x03送信 PB_DR = 0x03 | ENA; // ENABLEを1にして0x03送信 PB_DR = 0x03; // ENABLEを0にして0x03送信 // 0.1msec以上待機 msecwait(1); // 0x02送信 // これでLCDは4bitモードになる PB_DR = 0x02 | ENA; // ENABLEを1にして0x02送信 PB_DR = 0x02; // ENABLEを0にして0x02送信 msecwait(1); // ファンクションセット // 0x28を送信 PB_DR = 0x02 | ENA; // 上位4ビット出力 PB_DR = 0x02; PB_DR = 0x08 | ENA; // 下位4ビット出力 PB_DR = 0x08; msecwait(1); // 表示オン/オフコントロール // 0x0Eを送信 PB_DR = 0x00 | ENA; // 上位4ビット出力 PB_DR = 0x00; PB_DR = 0x0E | ENA; // 下位4ビット出力 PB_DR = 0x0E; msecwait(1); // エントリーモードセット // 0x06を送信 PB_DR = 0x00 | ENA; // 上位4ビット出力 PB_DR = 0x00; PB_DR = 0x06 | ENA; // 下位4ビット出力 PB_DR = 0x06; msecwait(1); // 表示クリア // 0x01を送信 PB_DR = 0x00 | ENA; // 上位4ビット出力 PB_DR = 0x00; PB_DR = 0x01 | ENA; // 下位4ビット出力 PB_DR = 0x01; msecwait(1); //表示クリアとカーソルホームは4msec待つ msecwait(4); // LCD初期化処理はここまで // LCDに文字を表示させる // O を表示 // O は文字コード表から0x4F PB_DR = 0x04 | RS | ENA; // 上位4ビット出力 PB_DR = 0x04 | RS; PB_DR = 0x0F | RS | ENA; // 下位4ビット出力 PB_DR = 0x0F | RS; msecwait(1); // データシートでは40uS程度の待ち時間。これを挿入しないと文字化けすることがある。 // Kを表示 // Kは文字コード表から0x4B PB_DR = 0x04 | RS | ENA; // 上位4ビット出力 PB_DR = 0x04 | RS; PB_DR = 0x0B | RS | ENA; // 下位4ビット出力 PB_DR = 0x0B | RS; msecwait(1); // データシートでは40uS程度の待ち時間。これを挿入しないと文字化けすることがある。 while(1) { } }
https://w.atwiki.jp/b4gb4gb4/pages/22.html
総合コメント このWikiに対する意見などご自由にお使いください 荒らしに反応した人も荒らしになりますので、荒らしは完全無視してください 荒らしを発見した場合、発見次第荒らしのコメントを削除します 名前はずっと同じものにしといてください 過去ログ 管理人さん、もしかして小学生ですか? (日本語がおかしい点が多々見受けられたので…) 小学生でも大丈夫ですよ。頑張ってくださいね。 -- 名無しさん (2009-07-10 17 01 56) 違います^^ -- 管理人 (2009-07-16 16 00 41) どうして謝罪記事へのリンク消したの? どうして? -- 名無しさん (2009-07-17 15 16 42) 僕はしりませんが? -- 管理人 (2009-07-17 18 38 54) 今管理してるのは94xe(笑)じゃないの? -- 774 (2009-07-22 00 15 47) あーー 最近みていませんね~ -- 管理人 (2009-07-22 08 20 24) ファイナルステージ+の攻略キボン -- 名無し (2009-07-29 21 12 03) 新入りです。ヨロシク さっそくですが皆さんはどのような玉や戦術を使うのですか。 教えて下さい。 -- cqc (2009-07-31 17 25 34) なぜここで新入りとかく?かくならメンバーチャットでやってください -- 管理人 (2009-07-31 19 42 44) ここはもう潰れたのか? -- 名無しさん (2009-08-11 23 35 50) gggggggggggggggggggggggggg -- yy (2009-08-17 18 14 59) http //wikiwiki.jp/gekitotsu/ ↑とかぶってるのでどちらかにしたほうがいいかと・・・ 個人的にはWiki*のほうが見やすくていいような気がするのですが・・・ あ、いえ、こちらを潰せといってるわけじゃありませんので、はい、! -- TornadoX (2009-09-02 15 56 50) そんなことをいわないでほしい・・・・ -- 管理人 (2009-09-02 21 35 02) TornadoXさんに同意。正直メンバーだけでなく全てオープンに していいと思います。荒らされることを警戒しているんだろうけど 別に大規模で人口多いわけじゃないし問題ないと思いますが。 メンバーばかりをひいきするから人が集まらないと思います。 ステージ攻略までメンバー限定のこちらと、編集しない人も 自由に見れるWiki*ではWiki*のほうがいいと思います。 -- 狙撃部隊 (2010-03-29 17 13 03) このwikiをだれでも編集許可を出す件のことですが、 荒らされるということよりもこのwiki自体、裏関連にだいぶ力を入れている状態なので 不特定の人に勝手に編集参加してもらうと こちらの方だけで責任が取れなくなってしまいます。 このwikiのメンバーになるというある程度の責任を取れる状態での 編集権限を持ってもらうことがよいので という回答がありましたが、それだから人が集まらないのです。 過疎ってる割には編集者が一部の人に限定される・ステージ攻略もメンバーしか見れない ようなので一度閉鎖orフリー化をお考えになられたらどうでしょうか…? -- 狙撃部隊 (2010-03-30 13 40 26) 一応おっしゃるとおりです。 ステージ攻略は開放いたしました。 一応こちら側もフリー化にしたいのですが、荒らしが大量発生 した場合の対処ができない場合がございます。 しかし、こちらもできる限りのことし、 荒らしが発生した場合は、速やかに対処し、 荒らしは規制?にすることにします。 しかし、一部分はフリー化にはしませんので、ご了承ください。 -- こうき (2010-04-01 12 16 48) 編集できましたがミスってしまいました(ユニット一覧・性能票にて)…… -- 狙撃部隊 (2010-04-06 19 52 05) ユニット性能表とステージ攻略(ベーター版)はもうベーター版が遊べないので正直要らないと思います。 新しいほうに統一したほうがいいかと・・・ -- 狙撃部隊 (2010-04-07 13 50 33) わかりました。 なにをミスったんですか? -- こうき (2010-04-09 20 53 07) ↑はもう復旧しました。ところで、「荒らしが大量発生 した場合の対処ができない場合がございます。」とありましたが 確かにWiki*のほうでも最近荒らしが酷いようです。 今日も僕の名前を勝手に使った荒らしが発生しました。 恐らく、前の荒らしの復旧に対する報復でしょう。許せません。 -- 狙撃部隊 (2010-04-12 16 34 33) たしかに荒らしは許せません。 -- こうき (2010-04-18 13 13 11) FINALステージの画像がないのですが…… こちら(下記)をお使いになるのはどうでしょうか? Wiki*からの引用です。 http //wikiwiki.jp/gekitotsu/?plugin=ref&page=Stage/16%2B&src=stage16%2B.jpg -- 狙撃部隊 (2010-04-20 21 03 17) 今日からメンバーに登録されました! これから頑張っていきます!あとFINALの画像をUP -- 射撃部隊 (2010-11-17 21 57 57) 管理人さんに要望。 新規ページを作ると、そのページの閲覧権限が「メンバーのみ」 になるのですが・・・誰でもOKに初期設定ごと変更してくださいませんか? -- 射撃部隊 (2010-11-18 20 02 16) ユニット一覧の画像(4~10P)の画像添付準備ができました。 今日は時間がないと思いますが、なるべく早めにうpします。 -- 射撃部隊 (2010-12-20 21 40 02) 現在、画像はうp 調整中です。 -- 射撃部隊 (2010-12-21 20 19 03) なんとかできたze…で今度は変な生き物とかの画像をうpしたいと思います -- 射撃部隊 (2010-12-21 20 30 03) ウィキランキングのバナー追加 -- 射撃部隊 (2011-04-06 12 37 57) 射撃部隊さんありがとうございます。 -- こうき (2011-04-10 20 31 18) すずぬーとさんのぶろぐ閉鎖してる件 -- ぐふふ (2011-10-29 00 30 14) ちなみに閉鎖したブログ、サイト、などは右下にあるキャッシュで見れる -- ぐふふ (2011-10-29 00 31 56) 名前 コメント 文句・意見・改造・解析についてはhttp //blog.wazap.com/459721/このブログで連絡 直接何か言いたいのれあれば94xe@mail.goo.ne.jp ここの謝罪文は移動します。
https://w.atwiki.jp/dmori/pages/37.html
classを使う概要 動作確認 テストコード classを使う 概要 SystemVerilogのクラスをつかうサンプルです。 勉強用。 動作確認 ツール バージョン 結果 ncverilog 06.11-s004 OK VCS-MX 未確認 ModelSim 未確認 テストコード mapsクラスを2個生成し、値を代入します。 それぞれ個別に動作していることを確認。 program test1; class maps; local int hoge[]; local int bar []; local int size_x; local int size_y; function new (int x,int y); this.size_x = x; this.size_y = y; this.hoge = new[x*y]; this.bar = new[x*y]; endfunction function int get_hoge(int x,int y); get_hoge = hoge[y*size_x+x]; endfunction function void set_hoge(int x,int y,int val); hoge[y*size_x+x]=val; endfunction endclass /////////////////////////////////// initial begin maps tr; maps tr2; int a; tr = new(5,3); tr2= new(10,10); tr.set_hoge(0,0, 5); tr.set_hoge(1,0,10); tr.set_hoge(2,0,13); tr2.set_hoge(0,6, 5); tr2.set_hoge(1,6,10); tr2.set_hoge(2,6,13); for(int i = 0;i =2 ; i++)begin a = tr.get_hoge(i,0); $display("a=%0d i=%0d",a,i); end //tr.hoge[1]=2; for(int y = 0;y 3 ; y++)begin for(int x = 0;x 5 ; x++)begin a = tr.get_hoge(x,y); $display("tr[%0d,%0d]=%0d ",x,y,a); end end for(int y = 0;y 10 ; y++)begin for(int x = 0;x 10 ; x++)begin a = tr2.get_hoge(x,y); $display("tr2[%0d,%0d]=%0d ",x,y,a); end end endendprogram 名前
https://w.atwiki.jp/nomore-mns/pages/19.html
ポイント 消費税は上げない・国債は発行しない、残る財源は? ※消費税に関しては方針のブレがあるので専門項参照 実際は大幅増税し財源化する可能性あり コストがかからないボランティアが中心で頑張れる社会(???) 無駄遣いを省く(必要な財源と省ける金額が全く不一致) 埋蔵金利用 ※この重要な問題は専門項で 研究費への課税(産業の根幹に対する締め付け) 相続税の増税(相続は認めない=共産主義国家そのものです) 法人税の増税(会社が国外に逃げる) 富裕層の増税(この人たちが金を使わないと、景気は良くならない) 資産課税 (マイナス金利) 最悪最後の選択は紙幣大量印刷でのインフレ 無駄遣いを省く民主党の「緊縮財政」=成長経済が求められる不況化、世界の先進国で今こんな方針をとる国はない。 また民主党が槍玉に挙げている八ツ場ダムは建設が7割まで進んでおりこれをいまから白紙に戻すというはそれこそ無駄遣い。国立メディア芸術総合センターを槍玉にあげ補正予算打ち切りを唱えるが、補正予算にはインフルエンザ対策、景気対策、大学への助成金等さまざまな要素が組み込まれており芸術センターはそのごく一部。 「CHANGE!」の印象を作るための現実を考えない理想論です。 民主党顧問「財源はそこまで触れなくていいんだ。どうにかなるしどうにもならなかったならごめんなさいと言えばいい」 2009 7/8 読売朝刊 ボランティア友愛社会 「ボランティアが中心で頑張れる社会なんですから。コストがかからない」 2009年5月27日 党首討論 より鳩山発言から抜粋 ↓クリックで表示 +... 極めて上から目線の麻生総理で、お答え頂いたなぁ、と思います。 私はもっとわかりやすく言えば、政府による解決は、 これはお金がかかりすぎる、ある意味での悪平等という弊害に陥る。 それに対して、市場原理というものにすべてを委ねると、今度は弱肉強食という世界に入ってしまう。 悪平等と弱肉強食。これは、どちらとも、国民の皆さま方に幸せを与えるものではなかった。 だから、私たちは今、第3の道を模索をしなければならない大事な時なんだ。 その発想はむしろ、今までボランティアとか、NPOとか、コミュニティースクールとか、 なかなか大きな光というものが政治に与えられてこなかった分野に対して、 もっともっと、政治に、そういう政治に光というものを当てることによって、 全体として、全体として、コストもかからないんです。 ボランティアが中心で頑張れる社会なんですから。コストがかからないし、満足もより得られる。 そういうさまざまな仕組みが、もういろんなところで、できてきているんです。 もっともっと真面目に、総理、そして麻生政権を構築しておられる方は、 そのことを真面目にごらんになっていただかないと大変であると。 だからこそ私たちはやはり、分からない方々がそちらにおられると、 政権交代をしてスタートしなきゃならないな。その発想にならざるを得ない。 えー、私はここで、あえて申し上げたい。 友愛社会の国家を築いていくときに、二つのことが必要なんです。 それは、一つはやはり、国民の皆さんに、そうは言ったって、 政治に対して「信頼ないね」いわれたら終わりですから、 政治に対する信頼というものを回復させなければならないこと。 それからやっぱり、上から目線の官僚主導の政治というものを打破していかなきゃならないんです。 党首討論 発言全文の項から、ぜひ全文や動画で確認ください。 民主党が財源にするため打ち切ると宣言してる補正予算内容 【雇用関係】 1 雇用調整助成金の拡充等 6,066億円 2 再就職支援・能力開発対策の推進 7,416億円 3 緊急雇用創出事業の拡充 3,000億円 4 内定取消し問題、外国人労働問題等への適切な対応 106億円 5 失業等給付費等の確保 6,836億円 6 住宅・生活支援等 1,704億円 【地域医療関係】 1 地域医療の再生に向けた総合的な対策 3,100億円 2 医療機関の機能、設備強化等 2,096億円 3 革新的な医薬品や医療機器の開発支援、審査体制の強化 917億円 4 新型インフルエンザワクチンの開発・生産体制の強化 1,279億円 5 レセプトオンライン化への対応 291億円 【介護関係】 1 介護職員の処遇改善 3,975億円 2 介護基盤の緊急整備等 3,294億円 3 福祉・介護人材の資格取得等のキャリアアップ支援等 98億円 4 社会福祉施設等の耐震化等 1,068億円 【子育て関係】 1 子育て応援特別手当の拡充 1,254億円 2 地域における子育て支援の拡充等 3 ひとり親家庭の支援、社会的養護等 1,510億円 4 特定不妊治療への支援 24億円 【安全・安心施策】 1 がん対策の推進 237億円 2 難病患者に対する支援 29億円 3 年金記録問題の解決の促進 519億円 4 障害者の自立支援対策の推進 1,579億円 5 高齢者医療の安定的な運営の確保等 156億円 6 生活衛生関係営業者の支援 1.6億円 7 地上デジタル放送への対応 117億円 8 検疫所及び水道施設の機能、設備強化 79億円 9 社会保障カード(仮称)の実施に向けた環境整備 71億円 補正予算ふくめ民主党の財源確保で省かれる要素 ★扶養者控除がなくなる ★配偶者控除なくなる ★エコポイントなくなる ★独立行政法人の危機(国公立大学、公立病院、各種研究機関 育英会の存続が危ない) ★住宅ローン控除廃止 ★補正予算を執行停止により休業補償や若年者の雇用対策は無くなる 介護報酬も減額 ★私学助成金廃止 幼稚園から大学まで私学の授業料アップは必須 ★教科書採択方法が変わり、サヨク、日教組、中国韓国が好ム自虐教科書のみ採用 ★国立国会図書館法の改悪(恒久平和調査局設立) ★補正予算案停止により、新型インフルエンザ対策 インフルエンザワクチンの開発・ 製造に関する予算もなくなる。 ★補正予算案停止により、公立学校の耐震化工事、外郭環状道路の建設が中止。 ★退職年金積立金に対する法人税課税停止 弱小企業の退職金なくなる 報道 鳩山代表:国債の新規発行抑制を示唆 10年度予算で 毎日新聞 - 08月23日 19 14 http //s04.megalodon.jp/2009-0824-1136-23/mainichi.jp/select/seiji/news/20090824k0000m010025000c.html 民主、租税特別措置3割廃止で1兆円超捻 2009年8月7日 読売新聞 ↓クリックで表示 +... 民主党は6日、衆院選政権公約(マニフェスト)に掲げている、一部の税金を例外的に増減税している租税特別措置見直しについて、継続年数、適用件数、政策効果の三つの尺度から判断していく方針を固めた。 政権を獲得した場合、現行の減税措置約300項目などを調べ、2011年度税制改正で少なくとも3割以上の廃止で1兆円超の財源を捻出(ねんしゅつ)したい考えだ。一方、優遇策を失いかねない業界団体などは民主党の動きに神経をとがらせている。 財務省試算では、08年度の租税特別措置は減税分が約7・5兆円、増税分が約2・3兆円で、差し引き約5・2兆円の減税となっている。民主党は「利益誘導的な措置が多い」と批判しており、〈1〉時限措置にもかかわらず長期間継続〈2〉適用件数が少ない〈3〉政策的効果が乏しい――の3原則に基づき、是非を判断する。 例えば、住宅ローン減税(8240億円)は「最高控除額が大きすぎる」、企業の研究開発を後押しする試験研究費の特別控除(6510億円)も「どの程度の効果があるのか不明」などと指摘している。民主党は、減税適用者に明細報告を義務づける「租税特別措置透明化法案」を遅くとも10年の通常国会で成立させ、実態調査を急ぐ方針だ。11年度から廃止する方針を示している所得税の扶養控除、配偶者控除分と合わせ、2・7兆円分の財源を確保したい考えだ。 しかし、産業界からは「住宅ローン減税は確実に住宅需要を下支えしている」(住宅業界)、「低燃費自動車や省エネ関係の減税廃止は、広く産業界に影響が出る」(自動車業界)などの声が出ており、見直しの動きが本格化すれば反発が強まりそうだ。 また、住宅ローン減税を縮小すれば、住宅購入意欲を高めて景気を下支えしてきた効果が薄れる。企業の投資を促進する減税の見直しも、日本経済の競争力強化を図る流れと逆行しかねない。 民主党も政策的意義や効果があると判断すれば、措置の継続や恒久化を検討する考えだ。石油化学製品の原材料となるナフサへの免税措置(3兆7890億円)については、プラスチックなど幅広い製品価格の上昇にはね返るため、すでに免税の継続方針を示している。(久保庭総一郎) http //www.yomiuri.co.jp/election/shugiin2009/news1/atmoney/20090807-OYT1T00017.htm 民主「八ッ場ダム中止」公約、関係知事反発 (2009年7月31日20時27分 読売新聞) http //www.yomiuri.co.jp/election/shugiin2009/news1/20090731-OYT1T00839.htm?from=top 埼玉県知事が民主党の八ツ場ダム中止公約を徹底批判 http //www.nicovideo.jp/watch/sm7986490 http //www.nicovideo.jp/watch/sm7986490 (コメントを消して動画を見る場合は、右隅のヒヨコのマークをクリックしてください。) 2009.07.29追加 朝日新聞 2009年7月28日13時3分:民主マニフェスト、自民が総攻撃「我々以上のばらまき」 その魚拓 読売新聞 2009年7月27日22時53分:民主公約…課題は財源、埋蔵金の見直しは難題 日テレニュース24 民主党マニフェストに閣僚から批判相次ぐ 日テレニュース24 民主党・鳩山代表、閣僚からの批判に反論 民主公約「うそ八百」=鳩山前総務相 以下民主党マニフェスト等より 既存の政策・支出は全て検証し 不要不急事業は凍結・廃止。 必要な事業は政策コストを徹底的に削減した上で 確実に実施。 財源の説明 国の総予算207兆円を全面的に組み替え 税金のムダづかいを根絶。 政治家中心に、外部有識者なども加えて 既存の政策・支出を全て検証し たとえば 川辺川ダム(熊本県・事業費2650億円) 八ツ場ダム(群馬県 4600億円)など不急不要な事業は中止。 天下りの根絶・入札改革・事業仕分けなどによって 物品調達や事業委託などの政策コストは徹底的に削減。 独立行政法人・特殊法人・公益法人などが行っている事業も 全て検証し、天下りのために存在するような法人は廃止する。 これによって、独法への補助金などを削減。 マニフェストに掲げた「租税特別措置の抜本見直し」や 「所得税制の改革」の実現による増収分を活用する。 さらに財源が必要な場合は 「埋蔵金」の活用も検討する。 ムダづかいをなくすための政策 ●天下りのあっせんを全面的に禁止します。 特別会計、独立行政法人、公益法人の仕事を 徹底的に見直します。 ●官製談合と不透明な随意契約は一掃します。 ●国家公務員の総人件費を2割削減します。 ●国が地方に使い方を指定する「ひもつき補助金」は 廃止します。 ●企業団体による献金、パーティー券購入を禁止します。 ●国会議員の世襲は禁止します。 ●衆議院の比例代表定数を80削減します。 税金は、官僚と一部政治家のものではありません。 国民の税金を、国民の手に取り戻します。 国の総予算207兆円を全面組み替え。 税金のムダづかいと天下りを根絶します。 議員の世襲と企業団体献金は禁止し、 衆院定数を80削減します。 国民生活にとって必要なものは何か? 必要なものは増やし、そうでないものは削る。 明快な基準で全てを組み替えた予算が、 あなたの暮らしを良くします。 ↓自動検索による外部リンクリストです。参考にしてください。 #bf #bf #bf ※以下広告
https://w.atwiki.jp/t100/pages/16.html
フォームを使うときの注意点をまとめてみます。 ●FormをPageに追加(add)するのは、Formにコントロールを追加した後で。 addメソッドの中で、htmlのタグとコンポーネントの関連付けを実行しているようで(たぶん)Formに必要なコンポーネントを追加する前に、FormだけWebPageに追加してしまうと、エラーで落ちます。 ●Formの入力値を受け取るModelをセットしておかないと、サブミット(ポストバック?)したときにエラーで落ちます。 Wicketは、Formの入力値を受け取るためのモデルを事前にWebPageに設定しておく必要があります。 これを忘れると、サブミットしたときにエラーで落ちます。。トホホ。 こんなエラーが出ます。 WicketMessage Method onFormSubmitted of interface org.apache.wicket.markup.html.form.IFormSubmitListener targeted at component [MarkupContainer [Component id = form, page = net.mekefactory.wicket.TextField1Page, path = 1 form.Form, isVisible = true, isVersioned = true]] threw an exception Root cause java.lang.IllegalStateException Attempt to set model object on null model of component form text と思ったら、-「サンプルのソースを見る」の第3回、unicode converter編 で解説されている内容を見ると、コンポーネントが参照するモデルを解決する方法が書かれていました。 コンポーネントのコンストラクタで指定 コンポーネントが属するフォームのコンストラクタで指定 ページに指定 の順番で探しにいくのかな? 値の受け渡しに必ずモデルを用意する必要があるのは、ちょっと面倒くさいなぁ。 何か楽な方法があるのだるか・・・?(ダメ)