約 3,432,695 件
https://w.atwiki.jp/armoredcoreforever/pages/36.html
ACfA パーツリスト HEAD(頭部パーツ) CORE(コアパーツ) ARMS(腕部パーツ) LEGS(脚部パーツ) F.C.S.(火器管制) BOOSTER(ブースター)メイン / バック サイド / OB GENERATOR(ジェネレーター) ARM UNIT(腕部兵装)ライフル - スナイパーライフル マシンガン - ショットガン ハンドガン - ハイレーザーライフル パルスガン - ハンドロケット SHOLDER UNIT(肩部兵装) BACK UNIT(背部兵装)ミサイル ロケット / キャノン レーダー / 特殊装備 STABIRISER(スタビライザー)頭部 / コア / 腕部 脚部 ミッション Chapter 1 - 2 (前半) Chapter 3 - 4 (後半) ミッション分岐 登場人物 カラード ORCA その他登場人物 その他 敵データ 機体総合、フレームパーツ、ブースター 武器 隠し要素 対戦関連 サウンドトラック
https://w.atwiki.jp/dmori/pages/15.html
キューを使った遅延バッファ代入に遅延を入れる(Verilog) キューを使った遅延バッファ(SystemVerilog) キューを使った遅延バッファ 代入に遅延を入れる(Verilog) initial begin forever begin fork o_DATA_R = #400 i_DATA_R; join @(posedge CLK_SYS); end end #400ってどうよ?→パラメータ記述にすれば多少はマシに verilogで使えるのはやっぱりうれしい キューを使った遅延バッファ(SystemVerilog) input wire signed [15 0] i_DATA_R ; input wire signed [15 0] i_DATA_G ; input wire signed [15 0] i_DATA_B ; output reg signed [15 0] o_DATA_R ; output reg signed [15 0] o_DATA_G ; output reg signed [15 0] o_DATA_B ; // Queues reg signed [15 0] q_DATA_R [$]; reg signed [15 0] q_DATA_G [$]; reg signed [15 0] q_DATA_B [$]; // clocking clocking sys@(posedge CLK); default input #setup_time; default output #hold_time; input i_DATA_R ; input i_DATA_G ; input i_DATA_B ; output o_DATA_R ; output o_DATA_G ; output o_DATA_B ; endclocking initial begin forever fork @(sys); q_DATA_R.push_front (sys.i_DATA_R ); q_DATA_G.push_front (sys.i_DATA_G ); q_DATA_B.push_front (sys.i_DATA_B ); join end initial begin repeat(10)@(sys); forever fork @(sys); sys.o_DATA_R = q_DATA_R.pop_back (); sys.o_DATA_G = q_DATA_G.pop_back (); sys.o_DATA_B = q_DATA_B.pop_back (); join end 重いかもしれない。 clockingは好みによる。 キュー自体をclockingはできなかった。(VCS A-2008.09) 名前
https://w.atwiki.jp/glee_forever/pages/71.html
レアリティ別スキル効果 レアリティ スキルSU0 スキルSU1 スキルSU2 スキルSU3 UR 1350スコア獲得 1500スコア獲得 1650スコア獲得 1800スコア獲得 SR 750スコア獲得 900スコア獲得 1050スコア獲得 1200スコア獲得 R 300スコア獲得 450スコア獲得 600スコア獲得 発動条件と発動確率 条件 確率 PERFECT50回毎に発動 90% PERFECT40回毎に発動 72% PERFECT30回毎に発動 54% 条件と確率別パフォーマー一覧 レアリティ パフォーマー名 種類 ソングタイプ 入手手段 PERFECT50回毎に発動:90% UR カート コンビネーション ガチャ UR ブリトニー エンジェル ガチャ UR サンタナ リトルモンスター ガチャ SR レイチェル アイスクイーン ガチャ SR カート フィナーレ イベント報酬 SR メルセデス カーウォッシャー デイリー曲(土曜)ドロップ SR クイン ファイナリスト ガチャ・スターターパック SR ティナ アリストクラート ガチャ・限定ショップ SR サム マッスルボディ ガチャ・スターターパック SR マイク ファイナリスト ソロ曲ドロップ SR キティ パペット ガチャ R メルセデス ファンクマスター ガチャ R クイン サンシャインガール ガチャ R アーティ ファイナリスト ソロ曲報酬 R ティナ アイスクイーン デイリー曲(水曜)ドロップ R サム メカニック デイリー曲(火曜)ドロップ R ウィル メキシカン ガチャ R スー パワースーツ ガチャ PERFECT40回毎に発動:72% UR メルセデス マッドドクター ガチャ UR ティナ プロムクイーン ガチャ・ミステリーガチャ SR フィン ゴールデンボーイ ガチャ SR ブレイン ディーヴァ ガチャ SR パック ショックロッカー ソロ曲ドロップ SR ウィル ストリートボーイ ガチャ SR スー ブライダル ガチャ R フィン Bボーイ ガチャ R ブレイン ビートルマニア ガチャ R サンタナ ホットダンシング チャプター7星ドロップ R マイク Bボーイ ソロ曲報酬 R ウィル チャレンジャー ガチャ・ミステリーガチャ R キティ サンシャインガール ガチャ PERFECT30回毎に発動:54% UR レイチェル アクトレス ガチャ UR フィン ベストマン ガチャ SR レイチェル シンクロスイマー ガチャ SR メルセデス ムードメーカー ガチャ・ミステリーガチャ SR アーティ エースパイロット ソロ曲ドロップ SR ブレイン クレイン ガチャ SR ブリトニー ポップスター ガチャ・スターターパック SR サンタナ ギフトアドバイザー ガチャ SR サム シークレットラブ ガチャ SR ウィル ソロ曲星ドロップ R レイチェル ゴールデンガール ガチャ R カート ポップシンガー ガチャ R パック ファイナリスト ソロ曲報酬 R ブリトニー キャンディーガール デイリー曲(月曜)ドロップ R キティ パープルドレス ガチャ コメント 名前 コメント
https://w.atwiki.jp/espada/pages/125.html
Reve 党員リスト 更新日 家門Lv 家門名 ラダー 備考 08 10/22 25+0 サンクテュエール 0/0 RAVEと名前が似ているのがいつも可哀そうだと思うんだぜ… -- 名無しさん (2008-10-25 06 49 31) こいつも横殴り大好きな屑だし無問題 -- 名無しさん (2008-10-27 03 10 02) 名前 コメント
https://w.atwiki.jp/hmiku/pages/27813.html
【登録タグ CD CDE ひとしずくPCD やま△CD 全国配信 鈴ノ助CD】 前作 本作 次作 If the World EVER DREAM 雨乞い唄 ひとしずくP やま△ 鈴ノ助 流通 即売 委託 配信 発売 2013年12月31日 2014年4月25日 価格 ¥1,000(税込) ¥1,429(税抜) ¥900 / 1曲¥150 サークル team OS CD紹介 ひとしずくP×やま△の3rd同人アルバム。 ジャケットは鈴ノ助氏が担当。 コミックマーケット85(C85)にて頒布。 とらのあなで委託販売が、KARENTレーベルよりダウンロード販売が行われている。 曲目 優等生シンドローム feat.鏡音リン とりっくおあとりーと feat.鏡音リン、鏡音レン 怪盗Fの台本~消えたダイヤの謎~ feat.初音ミク、鏡音リン、鏡音レン、巡音ルカ、神威がくぽ、GUMI、KAITO、MEIKO、IA、MAYU 箱庭の夢 feat.IA Song for I feat.鏡音レン いたちごっこ ∞ らゔぁーず feat.鏡音レン 亡国のネメシス feat.鏡音リン、鏡音レン Twilight ∞ nighT feat.初音ミク、鏡音リン、鏡音レン、巡音ルカ、神威がくぽ、GUMI、KAITO、MEIKO Slowly sky feat.VY2 リンク 作者サイト とらのあな KARENT:「EVER DREAM」 コメント 追加おつ!買うよ -- 名無しさん (2013-12-21 23 27 24) 予約しました!! -- 名無しさん (2013-12-22 10 24 33) 欲しい… -- 名無しさん (2013-12-24 08 41 20) 「亡国のネメシス」が入ってる!!購入決定♪ -- 名無しさん (2013-12-25 20 15 29) VY2聴きたいっっ(´⊙艸⊙`;) -- 赤ずきんと林檎 (2013-12-27 16 54 04) VY2のソロ曲だと…?よし買おう。 -- はぁい、アタシ名無しよ。 (2014-01-06 22 09 59) 欲しい -- 名無しさん (2014-02-06 22 06 47) ぉおぉおおぉ~!凄く欲しい!!値段も安いし絶対買わなきゃ!! -- 暇人 (2014-02-08 14 16 09) Song for I素晴らしかった -- ひとしずくP中毒 (2014-02-09 17 42 37) 曲目「いたちごっこ∞らぁ~ず」になってるけど「らヴぁーず」(うは平仮名)ですよー編集よろです -- サーバル (2014-04-20 20 14 27) 仕様です。ひらがなの「う」に濁点はモバイルじゃ表示されない文字です。PCではちゃんと表示されてます。 -- 名無しさん (2014-04-20 21 12 53) 優等生シンドロームの歌詞はないのか… -- 春香 (2014-05-01 19 03 12) ↑ニコニコ動画に当楽曲の動画が投稿されておりませんので、歌詞はありません。 -- 名無しさん (2014-05-01 20 03 59) 春香さん»歌詞結構いい歌詞ですよ~! -- 暇人 (2014-09-13 19 00 30) とりっくじゃん -- 名無しさん (2015-09-04 14 30 04) 名前 コメント
https://w.atwiki.jp/mcresort/pages/12.html
*Resort serverの情報 IP v19216812194.9up.me 25566 サーバースペック CPU Intel core 2 Duo P8700 RAM 4GB (内1.5GBをサーバーに割り当て) HDD 500GB 推奨スペック CPU クアッドコアで2.5GHZ以上の周波数のCPU RAM 4GB GPU Geforce 9800GT以上のGPU 10Mbps以上のネット回線 主スペック CPU Intel core i7 4770K RAM 8GB GPU GTX970 HDD 1TB SSD 64GB
https://w.atwiki.jp/tohomusicdb/pages/79.html
秋霜玉版 東方幻想的音楽版 蓬莱人形版 機械サーカス ~ Reverie 作品:秋霜玉 シーン データ BPM 拍子 再生時間 調性 使用楽器 コード進行 ZUN氏コメント 解説 機械サーカス ~ Reverie 作品:東方幻想的音楽 Music of Lotus Land ~ Music シーン データ BPM 拍子 4/4拍子 再生時間 1 16 調性 【A/B/C】ト短調(Gm)【C 】嬰ト短調(G#m) 使用楽器 Fingered Bs.Piano 2StringsViolinAccordion FrVibraphoneDrum 017 POWER コード進行 【A(8小節)】Gm/B♭ ...2x│E♭ ...2x│Gm/B♭ ...2x│E♭/B♭│E♭/B♭ F/C│ 【B(8)】E♭ F│Gm F│~3xE♭ F│F#m(♭5)│ 【C(16)】Gm ...4x│E♭ ...3x│F│~2x 【C (16)】G#m ...4x│E ...3x│F#│~2x ZUN氏コメント 秋霜玉より、4面ボステーマ【 機械サーカス ~ Reverie 】です。 このサビのメロディは結構いけてると思ってるのですが(^^; まはぁ、めちゃくちゃ単純明快だけどね。 さて、ちょっと微妙なノリのこの曲ですが、これは、ボスが大型だったから がんばって大型っぽさを出そうとした結果なんですね。 私の曲は少女以外に向かないのに(^^;;; (ssg_09.TXTより) 解説 ループの際、嬰ト短調からト短調へ戻らずそのままループする。なので一回目、二回目、三回目...とループする毎に全体の調が半音上がっていっている(ミスか、意図的なものかは不明)。 サーカスレヴァリエ 作品:蓬莱人形 ~ Dolls in Pseudo Paradise. トラック:7 データ BPM 拍子 再生時間 4 29 調性 使用楽器 コード進行 ZUN氏コメント これは今のゲームの曲では考えられないほど暗くて重くて…… どうにかしてください。 今の東方の曲は大体情景と共に幻視出来る曲が多いですが、 この曲で得られる幻覚には背景が無い。暗闇です。 この作りは今の私の好みではないので、 この曲を書いたときの心境を知りたいものです。 ただ、殆どピアノのこの曲は、 深いイメージも持たずキーボードをふらっと弾いてしまった時にできた曲に、 リズムをつけた物だったと思います。今聴くと何とか纏めた曲といった感じもするので、 弾いているとどんどんと収拾がつかなくなってきて どうして良いのか判らない自分が容易に想像できる。 (書籍文花帖 幻想の音覚 より) 解説 コメント この曲の話題なら何でもOK! 名前 コメント すべてのコメントを見る
https://w.atwiki.jp/gamemusicbest100/pages/993.html
リバーサル! 収録作品:ラストレムナント[360/PC] 作曲者:関戸剛 概要 Reversal(逆転)の名の通り、戦闘時において味方のモラル(士気)が優勢になった時、つまり戦闘における状勢が味方側に有利に転じた時に流れる曲。 なお逆転とは言うものの、劣勢から転じる必要はない。 ロックでまとめられたこのゲームの戦闘曲の中でも一際ハードロック色の強い曲で、激しいドラムとギターにシンフォニックをセクションした曲は高い人気を集め、スクウェア・エニックスのサイトで行われたラストレムナント・サウンドトラック投票で1位を獲得した。 Xbox360版はゲーム前半でしか聴けないレア曲(*1)だったが、Windows版ではゲーム後半でも聴けるようになっている。 ちなみにPV等でもショートバージョンが使用されている。 過去ランキング順位 第3回みんなで決めるゲーム音楽ベスト100 39位 第4回みんなで決めるゲーム音楽ベスト100 185位 第5回みんなで決めるゲーム音楽ベスト100 782位 第6回みんなで決めるゲーム音楽ベスト100 278位 第7回みんなで決めるゲーム音楽ベスト100 500位 第8回みんなで決めるゲーム音楽ベスト100 570位 第9回みんなで決めるゲーム音楽ベスト100 766位 第10回みんなで決めるゲーム音楽ベスト100 817位 第11回みんなで決めるゲーム音楽ベスト100 697位 みんなで決めるスクウェア・エニックス名曲ベスト100 83位 みんなで決める2008年の新曲ベスト20 3位 サウンドトラック ラストレムナント オリジナル・サウンドトラック
https://w.atwiki.jp/dmori/pages/59.html
(タイトル)概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 (タイトル) 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 (verilogソースコード1) (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 列挙型を定義します。 typedef {RED,GREEN,BLUE} COLOR; 列挙した名前だけでループさせたい場合。 COLOR ch; ch=ch.first; repeat(ch.num)begin $display("ch=%d(%s)",ch,ch.name); ch=ch.next; end 結果。 ch= 0(RED) ch= 1(GREEN) ch= 2(BLUE) foreverとbreakやdo-while等を使ってもいいんですが、foreverによる無限ループが怖いのでrepeatを使ってみました。 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/yurina0106/pages/3257.html
タグ 作品名と 曲名 歌手名 作詞 作曲 ジャンル カラオケ OP 涙の誓い KOTOKO 高瀬一矢 高瀬一矢 感動 DAM/JOY ED 君と出会えた季節 MELL 高瀬一矢・都築真紀 中沢伴行・高瀬一矢 明るい ED See you ~小さな永遠~ KOTOKO・MELL 高瀬一矢・都築真紀 高瀬一矢 感動