約 35,978 件
https://w.atwiki.jp/sh-03c/pages/17.html
カメラ LYNX 3Dはスマートフォンとしては珍しく、カメラにCCDを搭載しています。シャープがケータイ用の撮影モジュールを開発しているせいもあるのでしょう CMOSとCCDはどう違うの? CCDはCMOSに比べて色の再現に優れています。その一方でCMOSは高速連射などに優れています(裏面照射型であれば高感度撮影でもノイズが少ないという利点もあります)。 CCDでないと出せない色味というものがありまして、そこにこだわる人には愛用されています。SHシリーズでは多くCCDが搭載されていて、それを理由にSHシリーズを選ぶ人も少なくないほどです。 どうしてシャープはケータイにCCDカメラを搭載しているのか シャープの電子デバイス事業本部 システムデバイス第一事業部 副事業部長兼企画部長の井内徹氏(ケータイWatch) 解像度 静止画 9.5M(3552x2664) / 5M(2592x1944) / 3M(2048x1536) / フルHD(1920x1080) / 2M(1600x1200) / WVGA(800x480) / VGA(640x480) / QVGA(320x240) 動画 HD(1280x720) / WVGA(800x480) / VGA(640x480) / QVGA(320x240) カメラによる名刺リーダー、情報リーダー、テキストリーダー(簡易OCR機能)あり。 FAQ Q.手振れしている気がする A.手振れ補正の初期設定offなので、onするといいかも。 Q.カメラで撮った画像が荒い気がする A.写真キレイに撮っていても、ギャラリーアプリで見ると荒く表示される。(縮小する方法が悪い?) 他の画像閲覧アプリで見ると、普通に潰れずに表示してくれる(らしい) (例:Photo library、Picture Folder) Q.やっぱりボケる気がする A.半押し(フォーカスロック)知らないとか・・
https://w.atwiki.jp/android_sh10b/pages/23.html
ソフトキー非表示ソフト Android1.6でFWVGAの液晶を採用しているSH-10Bは、その大きな画面が魅力の反面、 アプリ互換の問題からソフトキーが強制表示されます。 ここでは、ソフトキーを表示せず画面を有効活用してるアプリを紹介します。 右ソフトキー非表示 アプリ 開発者 価格 言語 詳細 非表示方法 ブラウザ Google 無料 日本語 プリインストールのWebブラウザ メディアプレーヤ Sharp 無料 日本語 プリインストールのメディアプレーヤ 右ソフトキーの画面キー利用 テレビプレーヤ Sharp 無料 日本語 プリインストールのワンセグプレーヤ 右ソフトキーの画面キー利用 Perfect Viewer Rookie001 無料/寄付歓迎 日本語 多機能漫画ビューア SHMaps for LYNX and IS01 EQN. 無料 日本語 地図表示ソフト IS01用ADW.Launchre 無料 日本語 ホームアプリ ぶらうざ++ maruju 無料 日本語 標準ブラウザよりちょっと高機能なブラウザ ConnectBot Kenny Root and Jeffrey Sharkey 無料 日本語 ターミナル AyaComicViewer Aya 無料 日本語 コミックビューア Tuboroidon nikeno 無料 日本語 2chブラウザ Jota Text Editor Aquamarine Networks. 無料 日本語 テキストエディタ 設定メニューで選択 sheditor shirou 無料 日本語 テキストエディタ メ・ガ・ネ!メガネケ・エ・ス! Hisaki 無料 日本語 Userstreams対応Twitterクライアント 下ソフトキー 掲載すべきか迷うがとりあえず アプリ 開発者 価格 言語 詳細 非表示方法 ATOKトライアル JustSystems 無料 日本語 日本語FEP コメント欄 名前 コメント
https://w.atwiki.jp/syakure/pages/858.html
GNX-150 ラフトクランズ Raftclans 機体概要 フューリア聖騎士団において各隊を率いる隊長格の騎士が乗る機体で、斯衛軍で例えるなら赤や黄、青色などの上位の機体に相当する。 戦闘能力のみならず電子戦を含めた生存性に優れた機体で、GN粒子や大気、ガス帯などの物質が比較的濃い場所での戦闘能力向上を本格的に視野にいれている。 ロストテクノロジーで建造された古い機体のレプリカで、フューリア聖騎士団が東方艦隊を発注し再現した。 レプリカと思えぬほどの遠近攻守で隙のない優れた機体である。 スタンダード仕様の機体のほか、色や頭部形状の違う固有騎士専用機が存在する。 機体開発コンセプト 開発の経緯にはやや複雑な説明が必要となる。 フューリア聖騎士団は多くのロストテクノロジーを保有するものの、欠損箇所も多かった。 特に騎士専用機を稼働させるためには多くの欠損された技術を復元するか、他の技術で補う必要があった。 そこで東方艦隊での"GNドライヴの技術研究プラン"で開発された数々の動力炉やマンマシンインターフェース、火器や防御機構で補うことでオリジナルの騎士機を復元することとされた。 その多くの技術の中でコストの面でも妥協できる点においては量産機であるケルベリオンやレリオンに流用されている。 機体構造 全体的な機体フレームの構造は第三世代型ASに近く、人間の身体に極めて近づけてある。 衝撃吸収ダンパーを関節に備え、多大な関節への衝撃には衝撃吸収材を蒸発させる。 機体表面には常に薄くGNフィールドを展開している。 薄く纏ったGNフィールドは敵の物理・ビーム攻撃にある程度防御力を発揮する。 それ以外にもGNフィールドに細かい無数の隙間をあけ、そこから水を気化させて逃がすことで放熱を行い、宙域に撒かれたGN粒子を吸着することでGN粒子を再回収したりとまるで人間の肌のように"呼吸する"GNフィールドとなる。 そのためか、大気圏内や星間物質の濃いガス帯のようなところでは特に高い機動性を発揮する。 機体顔面には複合サイクルエンジンの吸気口がある。 宙域を漂う星間物質やGN粒子を磁場で捕まえて吸い込み、太陽炉のGN粒子とともに吐き出すことで星間物質が濃いところでは推力がGN粒子の排出のみのときと比べて推力が増強される。 機動力優先から砲戦優先となるとGN粒子を取り込んで自身の武装への粒子供給に変更される。 ただし、未確認の星間物質を確認した場合など吸収に支障を及ぼす場合には自動ストップされる。 動力炉 緑色のGN粒子を使うオリジナルのGNドライブを2基搭載する、ツインドライヴシステム搭載機体である。 特筆すべき点はGNドライブには"オルゴン・エクストラクター"と呼ばれるシステムが組み込まれている。 オルゴン・エクストラクターはTAROSに似たコクピットに組み込まれたパイロットの意思を読み取る装置(サイトロン・コントロール・システム)をGNドライブとを繋ぎ、GN粒子を介して脳量子波を伝達させる。 ツインドライブの安定機能も含まれているため、オルゴンエクストラクターの調子次第で機体全体のコンディションも大きく左右される。 GN粒子単体でも脳量子波を伝達させることができるが、それを増幅させる役目を持つ。 制御システム そのオルゴン・エクストラクターの機能により実現可能となった技術があり、それが量子化による量子テレポートとオルゴナイト・マテリアライゼーションである。 量子テレポートはGN粒子をもちいた空間転移戦法であり、理論的には恒星間の航行にも応用可能であるが、実用上では短距離の移動が限界とされる。 オルゴナイト・マテリアライゼーションはラフトクランズと共に新しく発見された金属生命体と関連された技術である。 その金属生命体は物を浸食する特性とGN粒子や脳量子波を操り、さまざな物に擬態する機能を持ち、知性すら持ち合わせる。 オルゴナイトとはいわば、その金属生命体の切れ端にGN粒子という"餌"をあたえ、脳量子波で指令を出すようにしたものである。 GN粒子を外部から与えられているうちは非常に強固だが、GN粒子の供給がきれると崩壊するとともにエネルギーを解き放って崩壊する。 脳量子波により、オルゴナイトの形を変えることができ、場合によっては自身をもうひとつ作り出すことすらできる。 防御システム 防御用の装備としてGNフィールドとディストーションフィールドの複合フィールド、オルゴンクラウドを備える。 大出力のGNフィールドと強度ではそう大差はないもの、オルゴンエクストラクターによりピンポイントで強度を高めることもでき、総合的な強度では上回る。 固定武装 固定式のGNキャノンをバックパックの2門、腹部の1門を備える。 それぞれを連動させて同時発射することでブレイヴのトライパニッシャーと同様の高出力のGN粒子のビームを照射可能。 また、腕と膝、つま先にはGNカーボンの棘状のブレードが備えられている。 ディストーションフィールドのサブ・フィールドジェネレータが内蔵されており、傘のように薄いディストーションフィールドを張る。 この薄いディストーションフィールドはECM・ECS用のアンテナやレンズと連携することで電磁波を収束させてより遠くへ届けることができ、電子戦能力を底上げしている。 高機動戦闘時にはGN粒子を付着させたディストーションフィールドとGNフィールドとの複合フィールドになり、宙域に存在するGN粒子と干渉させることで運動性能を底上げる。 サブ・フィールドジェネレータは機体本体のメインと連動することで強固な複合フィールドをブレード表面に張り、近接格闘で使用することが可能。 武装各種 手持ち武装はオルゴンライフル、クローシールドの二つとなる。 オルゴンライフルはやや長身のライフルで、射撃精度に重みを置いている。 長い砲身にはそれぞれフィールドジェネレーターとGN粒子の圧縮装置が内蔵されて、圧縮と加速されたGN粒子を不可視の延長砲身で撃ちだす。 ビームサーベルのような武器はないが、かわりにオルゴンライフルにはソードモードがそなえられる。 オルゴナイトの刃を両端から発生させることによって、格闘武器としても使用できる。 クローシールドは通常はシールドとして活用できるが、5本のクローを展開する。 オルゴン・エクストラクターにより、まさに3本目の手のひらのように動き、格闘戦においては変幻自在な活用をされる。 クローにはGNフィールドを纏い、強力な格闘武器の直撃にも耐える。 電子装備 騎士機として生存性に優れることが要求されており、電子欺瞞やステルス性能に優れる。 不可視型ECSを一歩推し進め、電子的光学的にも"確認できる"分身を作り出す。 前述したオルゴナイト・ミラージュを合わせて使うことで、特別な対ステルス手段を持ちえていない限り、見破ることは困難を極める。 また、レーダーと電子戦兼用のコンフォーマル・アンテナを全身に備えており、ECM性能に置いて先んずる。 機体諸元 [全長(MS形態時)] 34.0m [乗員] パイロット 1名 [固定武装] GNキャノン×3 多目的兵装ランチャー×2 [携行武器] オルゴン・ソード・ライフル クローシールド [動力炉] 純正太陽炉×2 [センサーシステム] N/JSRS-19(γ)1 統合型多機能RFシステム N/OST-3 光学監視追尾装置 ペリスコープ [アヴィオニクス(電子機器)] オルゴン・エクストラクター機体制御用AI 不可視型ECS QWS-2 超光速航行探知システム N/CMD-5 チャフフレアディスペンサ N/LINK-6 アドヴァンス・クロノデータリンク 4重マッスル・バイ・ライト [その他装備] トランザムシステム 機体バリエーション GNX-150 ラフトクランズ・アウルン アル=ヴァン・ランクス機とグ=ランドン・ゴーツ機。 オルゴン・ソードによる剣撃戦闘に最適化された近接戦闘タイプ。 オルゴン・ソードにFタイプ(高出力モード)があり、機体全長の5倍以上はあるオルゴナイトによる巨大な対艦刀を形成する。 そのため、関節駆動に特別なチューンが施してある。 GNX-150 ラフトクランズ・ファウネア フー=ルー・ムールー機。 オルゴン・ライフルによる射撃戦に最適化された遠距離戦闘タイプ。 オルゴン・ライフルにFタイプ(高出力モード)があり、オルゴナイトライフルと胸部の砲門を直結することで大出力のオルゴンライフルのビームを放つ。 そのビームはオルゴナイトの結晶を作るほどの出力を誇る。 GNX-150 ラフトクランズ・カロクアラ カロ=ラン・ヴイ機。 オルゴン・クローによる格闘戦に最適化された近接格闘タイプでオルゴンライフルを持たずに、代わりに両手にクローを持つ。 オルゴン・クローにFタイプ(高出力モード)があり、爪にオルゴナイトを纏った変幻自在の格闘攻撃を行う。 単純な近接格闘の攻撃力ではアウルンに譲るものの、よりテクニカルに翻弄・攪乱する攻撃ができるとされる。 GNX-150 ラフトクランズ・フィクス レプリカント・ファースト少尉機。 そのフィクス(Ficus:無花果)の名前の通り、イチジク色の塗装がされている。 聖騎士団外に出される機体ということでラフトクランズの量産試験機でもあるため、リミッタがかけられており、基礎的なスペックは劣る。 それにともない、量子テレポートも使用できなくなっている。 しかし、かわりに遠隔制御兵器としてプロトGNツインファングを2基搭載する。 GNファングとしてはかなり大型で上から見るとVVVの形に見える。 双胴の胴体に前進翼がつけられているために特徴的な形をしている。 これは大気圏内、あるいは宇宙空間でも高濃度のガスが立ち込めている場合に備えており、そのような状態でも高機動性を維持するための形状である。 前進翼は可変翼となり、低速高機動モードは前進翼であるが高速機動モードでは折り畳み後退翼となる。(エースコンバットの可変機のX-02の可変方法と同等である) 双胴の箇所にはそれぞれサイトロンコントロールされるよう調整されたGNコンデンサを搭載。 疑似太陽炉と同様にGNコンデンサもツインドライブ化されているものの、サイトロンコントロールの調子に左右される。 双胴の胴体の先端には大口径のGNバルカン、胴体と胴体の間にはGNビーム砲がついており、連動してトライパニッシャーとして発射することもできる。 GNファングのようにビームサーベルの発生させて切り裂く機能はないが、かわりにGNフィールドを発生させてそのまま体当たりすることで近接戦闘が可能となっている。 機体のサイトロンコントロールも少尉専用に調整されている。 定数のGNファングを搭載してもまだ能力に余裕があり、無人MSやGNファングの搭載も検討されている。
https://w.atwiki.jp/nxf06e/pages/13.html
【文字入力】文字を入力すると同じ文字が何度も入力される 「トグル入力の設定」のチェックが入っていないか確認してください 手順 1.メニューを表示する 2.「本体設定」-「その他」直下の「言語と入力」を順番に選択する 3.「NX!input」の「メニュー」を選択する 4.「テンキーの設定」を選択する 5.「フリック入力の設定」を選択する 6.「トグル入力」のチェックを外す 手順イメージ (1)「メニューを表示する」参照 (2) (3) (4) (5) (6)
https://w.atwiki.jp/nxf06e/pages/14.html
【文字入力】文字入力の感度がよくない 「フリック感度」を調整してください 高ければいいというわけではなく、概ね、半分より少し下に設定するのがいいようです 手順 1.メニューを表示する 2.「本体設定」-「その他」直下の「言語と入力」を順番に選択する 3.「NX!input」の「メニュー」を選択する 4.「テンキーの設定」を選択する 5.「フリック入力の設定」を選択する 6.「フリック感度」を選択する 7.フリック感度を半分以下を目安に調整する 手順イメージ (1)「メニューを表示する」参照 (2) (3) (4) (5) (6) (7)
https://w.atwiki.jp/rcdd/pages/18.html
10-1-600.jpg 以下メーカーホームページの説明文より引用 Calandra Racing Concepts changed the face 12th Scale racing with the release of the beautiful Gen-X racer. Tenth scale racers can now also have the same professional quality race car as TeamCRC releases its new 10th scale racer the GenX10. The GenX10 is the result of continuous refinement and product development at Calandra Racing Concepts. The GenX10 has it roots from CRC s previous 10th scale on-road racer the Pantoura, but this latest kit has undergone a revolutionary ground up redesign to produce a well-balanced, lightweight and highly adjustable tenth scale racer, the GenX10. Move over Touring Cars and make room for CRC s Pro10 2WD Sports Car Racer. The the GenX10 also features CRC s new Pro-Strut molded front end, best in the business New X-pod rear end, super low CG with "open pod" design that accepts brushed and bulky brushless motors with ease Bottom loading quick-change battery tray that fits any battery style, LiPo or NiMH Designed to fit 200 mm Sport Car bodies (such as the many HPI offerings) as well as traditional GTP bodies Adjustable track width 200-235 mm Adjustable wheel-base (allows for tuning and setup for NASCAR bodies) 235 mm width optional kit will be available soon Flush mount transponder mounting system Ultra low CG and centralized mass engineering for exceptional handling and precise steering 簡単な翻訳(超意訳、自信なし) Calandra Racing Conceptsは美しいGenXをリリースすることにより1/12レースシーンを一変させました。TeamCRCは1/10サイズのGenX10をリリースすることにより1/10レースシーンも1/12と同様の変化を起こします。 GenX10はCalandra Racing Conceptsの継続的な研究開発の成果です。GenX10ののルーツはロードレーサーのPantouraですが、GenX10はバランスや軽量化、調整可能な項目等を突き詰めた結果、設計を新たにしています。ツーリングカーとは違うGenX10という 2WD Sports Car Racer はいかがでしょうか? GenX10の特徴: フロントはGenXで採用されたCRC プロストラットを採用しています、 リアエンドは低重心でX-Podと、OpenPodデザインが採用され、ブラシレスモーターの搭載も容易です。 シャシー下面からトレイを使用してバッテリーを搭載するスタイルはNiMHからLiPoまで様々なバッテリーをサポートします。 200mm幅の1/10スケールボディが使用可能です。 トレッドは235mmまで延長可能です。 ホイールベースの調整の可能で、NASCARボディの搭載や調整も可能です。 235mm拡張キットは近日発売予定です。(訳注:現在は販売されています。) トランスポンダーの搭載も可能です。 ハンドリングと操作性向上の為に徹底的な低重心化とマスの中心化が図られています。 ※ちなみに写真は多少古い(?)のか、市場に出回っている現行品とは見た目が若干異なります。
https://w.atwiki.jp/hogazurou/pages/89.html
CPLD Xilinx FPGA、CPLDの製造大手企業 WebPack xilinxのIEDです。個人的にはこのソフトの使い勝手はquatusにおとると 思っています。 WebPackの使い方 使った基板 起動 こんな画面。 File- New Projectを選ぶ。 画面がないところは全部nextでOK この画面に出会ったらこんな感じに入力。 上のほうは、使っているCPLDを選ぶ。 下は同じでOK。VHDLを選びます。 finish 右クリックでNew Sourceを選ぶ。 ファイル名を入れて VHDL Moduleを選ぶ。 finish 選択部分にしたのプログラムをコピーしてください。 テストプログラムを書いてみる。 entity hello is port( clk in std_logic; led_out out std_logic); attribute pin_assign string; attribute pin_assign of clk signal is "5"; attribute pin_assign of led_out signal is "3"; end hello; architecture Behavioral of hello is signal counter std_logic_vector(20 downto 0); begin process(clk) begin if clk event and clk= 1 then counter =counter+1; end if; end process; led_out =counter(20); end Behavioral; このプログラムは、ledを点滅させるプログラムになっている。使用している 発信子が8MHzでLEDは約250msで点滅する。 プログラムの説明 entity hello is entity ソースファイル名 is で port( clk in std_logic; クロックの入力 led_out out std_logic); ledをちかちかさせるピン attribute pin_assign string; attribute pin_assign of clk signal is "5"; 使用ピンの指定 attribute pin_assign of led_out signal is "3"; end hello; entity ソースファイル名 is と end ソースファイル名で囲んだなかに 使用するピンとその型を指定する。 またそれには port();内に 変数名 in or out 型;とい書き方をする。 たとえば clk in std_logic;の場合 clkという変数は外部からの入力がstd_logicという型で入る。 led_ou out std_logic;の場合 led_outという変数は内部で指定したものをstd_logicという型で出力する。 attribute pin assign string;に続いて attribute pin_assign of 変数名 signal is "使用ピン"; で変数が使う使用ピンを指定することができる。 ソフトの中にGUIでpin指定できるGUIが入っていると思われるが 私が見つけたGUIは非常に使いにくかったので(たぶんもっと使いやすいのが どこかにあるはずだが)プログラムで指定してしまうことにした。 architecture Behavioral of ソースファイル名 is と end Behavioral;で囲まれた部分に動かしたい動作を書く。 signal counter std_logic_vector(20 downto 0);は、外部との接触を 持たない変数でportの中身と基本的に同じである。 std_logic_vectorはstd_logicの配列と同じ働きをする。 変数を作り終わったらbeginを書くその下に実際の動きが入ってくる。 process(clk)は時間別に処理したいときに書く。これを書かない場合は、 同じ時間に処理される。(並列処理)process()括弧の中身は、portで指定した もので内部で使うものを書く。 if文はクロックが動いてhighになったら処理をすると言うもの。 個人的にここはQuartusに勝っていると思う。 プログラムのコンパイルが終わるとこの画面になる。 マクロ、レジスタ、ピンなどの使用率が表示される。 また右のpic listをクリックするとどのピン配置が表示され プログラム中でのPIN配置とハード側のピン配置の確認ができる。 右下のImportant Designを開き、図と同じところを選ぶ。 右クリックしてRUNする。 これでプログラムに文法的なエラーがないか確認する。全体をコンパイルすると 時間がかかるため、この操作を入れておくと作業時間が短縮できる。 OKだったら次はFITで同じ作業でRUNする。このそふとでは、コンパイルではなく フィットというらしい。これに成功すると、こんな画面が出る。 いろいろ見れるので見てみる。PIN配置とか使用率とかみれるから確認する。 再び右下から図のところでRUNする。なにか確認ダイアログが出るのでYESを選ぶ とこんなのが出る。ので、ハードをつないでから、下と同じところを選んでください。 つないでいるCPLDの名前が画面に出たら接続成功です。 さっき選んだところのすぐ下にある、Programを選ぶと書き込み完了です。 戻る
https://w.atwiki.jp/rcdd/pages/15.html
Generation X10とは 10-1-600.jpg 以下メーカーホームページの説明文より引用 Calandra Racing Concepts changed the face 12th Scale racing with the release of the beautiful Gen-X racer. Tenth scale racers can now also have the same professional quality race car as TeamCRC releases its new 10th scale racer the GenX10. The GenX10 is the result of continuous refinement and product development at Calandra Racing Concepts. The GenX10 has it roots from CRC s previous 10th scale on-road racer the Pantoura, but this latest kit has undergone a revolutionary ground up redesign to produce a well-balanced, lightweight and highly adjustable tenth scale racer, the GenX10. Move over Touring Cars and make room for CRC s Pro10 2WD Sports Car Racer. The the GenX10 also features CRC s new Pro-Strut molded front end, best in the business New X-pod rear end, super low CG with "open pod" design that accepts brushed and bulky brushless motors with ease Bottom loading quick-change battery tray that fits any battery style, LiPo or NiMH Designed to fit 200 mm Sport Car bodies (such as the many HPI offerings) as well as traditional GTP bodies Adjustable track width 200-235 mm Adjustable wheel-base (allows for tuning and setup for NASCAR bodies) 235 mm width optional kit will be available soon Flush mount transponder mounting system Ultra low CG and centralized mass engineering for exceptional handling and precise steering 簡単な翻訳(超意訳、自信なし) Calandra Racing Conceptsは1/12のGen-Xと共にクールな車を出しました。1/10サイズのその車はGenX10と言い、GenXと同じく高品質なレーサーです。 GenX10はCalandra Racing Conceptsの継続的な研究開発の成果です。GenX10ののルーツはロードレーサーのPantouraですが、GenX10はバランスや軽量化、調整可能な項目等を突き詰めた結果、設計を新たにしています。ツーリングカーとは違うGenX10という 2WD Sports Car Racer はいかがでしょうか? GenX10の特徴: フロントはGenXで採用されたCRC プロストラットを採用しています、 リアエンドは低重心でX-Podと、OpenPodデザインが採用され、ブラシレスモーターの搭載も容易です。 シャシー下面からトレイを使用してバッテリーを搭載するスタイルはNiMHからLiPoまで様々なバッテリーをサポートします。 200mm幅の1/10スケールボディが使用可能です。 トレッドは235mmまで延長可能です。 ホイールベースの調整の可能で、NASCARボディの搭載や調整も可能です。 235mm拡張キットは近日発売予定です。(訳注:現在は販売されています。) トランスポンダーの搭載も可能です。 ハンドリングと操作性向上の為に徹底的な低重心化とマスの中心化が図られています。
https://w.atwiki.jp/armoredcoreforever/pages/240.html
ACNX/REVOLUTION MISSION こちらのレボリューションディスクでは初代プレステ時代のシリーズのミッションを リメイクしたものをプレイすることが出来る。 異なる視点から楽しめる、立場が逆転したリバースミッションも存在する。 過去作のムービーや設定画稿も収録し、ファンディスクとしても価値がある。 NX仕様に変身した、あのランカーたちが再び・・・ ACNX/REVOLUTION MISSION REVOLUTION DISC大破壊反抗組織排除 敵部隊誘引 警備部隊せん滅 レイヴンズ・ネスト潜水艦死守 海上施設制圧 傭兵砲台施設破壊 砲台施設防衛 制圧部隊迎撃 A.I.作業用MT排除 保安システム停止 企業燃料倉庫襲撃 資材輸送車護衛 侵入者撃破 地下都市市街地襲撃 警備システム攻撃 破壊活動阻止 失われた技術輸送列車護衛 機密物資回収 輸送列車強襲 強化人間脱走者処分 同志救出 依頼アンバー・クラウン潜入 都市施設侵入阻止 地上施設防衛 イレギュラー救出 レイヴン抹殺 偽装 ウェンズデイ機関演習場突入 試作兵器性能テスト 戦闘データ採取 アンバー・クラウン受信施設防衛 襲撃部隊排除 奪還部隊迎撃 スミカ本部施設襲撃 機密運搬車護衛 ファンタズマ対決 ファンタズマ破壊 秩序を破壊するものクローム残党掃討 抵抗勢力せん滅 コメント EVOLUTION DISCへ REVOLUTION DISC クリアするだけなら、汎用的な機体でも十分いけるものが多い。だが隠しパーツや高レイヴンランクを目指す場合、アセンを一から考える必要もあるだろう。 基本的にこのディスク内では収入は皆無に近い。一応隠しパーツを売れば収入にはなるが、その為に機体を組む必要があり収入にはなりづらい。完全クリアにはコンセプトががらりと違う機体を組めるだけのパーツか、2,300万程度の貯金が必要になるだろう。 本編の一周目攻略時に役立つパーツが随所に隠されているので、こちらから先に手を付けるのもアリ。完全初心者にはお勧めできないが、別の場所で経験を積んだレイヴンならむしろ腕が鳴るはず。 レイヴンランクは、各ミッションごとに規定値を設定された「弾薬費」「作戦時間」「残AP割合」によって決定される。 EPISODEクリアでBGMをORIGINALとBONUSから選べるようになるぞ! + ブリーフィング画面より転写 ■BRIEFING DATA SAKUSENNMEI : NAISUSAKUSEN SAKUSENNCHIIKI : DOKKAIITOKORO NAIYOU : TEKIWO YATTUKERU TEKI SENNRYOKU : GYAKUMT HIKOUKI HERIMOKUHYOU : KANZEN HAKAI 大破壊 初代アーマードコア出典。最初ということもあり難易度は控えめ。 反抗組織排除 依頼者 クローム 作戦領域 ドーン・ブリッジ 敵主戦力 作業用MT:3、改造重機:2 作戦目標 橋上占拠者の完全排除 初代のミッション「反抗組織排除」のリメイク。 初期機体でもいけるレベル。楽な仕事だ。気軽にやってくれ。 ミッション開始直後に後ろを向けばそこに隠しパーツが・・・ない。 橋から落ちても大丈夫。水は浅いのでそのまま移動可能。 敵部隊誘引 依頼者 クローム 作戦領域 第6燃料倉庫 敵主戦力 汎用AC:7 作戦目標 敵部隊の迎撃 ムービーの不知火がやたらカッコいい。 爆弾は慎重に外していこう。誤作動が怖いなら左手武器を外すという手も。 面倒が嫌いな人は爆発させてもおk、半分までなら壊しても失敗にはならない。 最後の2つは爆発させると一気に誘爆するので、面倒でも手作業で解除。 左手は空けておき、右手にはオーガ(プラズマライフル)を装備。オプションで強化しておけば、不知火も一撃で倒せる。 不知火は動きが良く、やたらジャンプしてくるしロケの精度も良い。だがブレードは狙うチャンスはある。ダガブレで一撃。 最初の部屋は施設が一杯あるので誤射に気を付けたい。通路に誘き寄せるのも手。二部屋目も何体かは通路に誘き寄せるし動きやすい部屋。 爆発に巻き込まれるとAP4000とか一気に吹き飛ぶ。Sランクもまず狙えなくなる。 爆弾解除、時間制限有り、素早い敵と、↑から難易度が跳ね上がる。難しかったら次のエピソードを攻略した方がいい。 警備部隊せん滅 依頼者 ストラグル 作戦領域 ドーン・ブリッジ 敵主戦力 戦闘ヘリ:11 作戦目標 警備部隊の撃破 入手可能パーツ CR-194DD2(INSIDE) 長距離FCSにマガスナで吹っ飛ばしてやろう 両手マガスナだと微妙に弾薬費が引っかかるので、どちらかを射程の長いEN武器にするといいかもしれない。 ”CR-194DD2”は味方MTを破壊されずにクリアすると取得。 依頼主はなつかしのテロ組織ストラグル。だが敵対組織のイミネント・ストームはレボディスク中ずっとお休み。 レイヴンズ・ネスト マスターオブアリーナ出典。ラナ・ニールセンも登場だ! 潜水艦死守 依頼者 R Gインダストリー 作戦領域 海上輸送航路 敵主戦力 戦闘ヘリ:多数、ミサイル:多数、巡洋艦:2 作戦目標 潜水艦の護衛 入手可能パーツ CR-WR93RL(ARM UNIT R) MoAのミッション「潜水艦死守」のリメイク。 フロートでなくても大丈夫。むしろ重2やタンクの方がいいようだ。 スナイパーライフル推奨。途中からミサイルが2発ずつ飛んでくる。ミサイルに手間取る人にはマガスナだとリロードが足を引っ張るかも。 二次ロックを心がけて確実に一発一発をつぶしていく。 広域レーダーに旋回性能を上げたアセンで。 魚雷より、ヘリのマシンガンでAPちまちまと削られる⇒AP足らずにランク下がる。 スナイパーライフルでもSランクが取れるあたり、弾薬費による減点はそこまで厳しくないらしい。 CR-WR93RLは潜水艦のAPを60%以上残してクリアすると取得。 ↑潜水艦が被弾していない状態でクリアすると取得。魚雷1発でも当たったらアウト。 魚雷は破壊できなくても潜水艦に当たらないことがある。逃しても諦めないように。 元のミッションは、潜水艦が破壊されるよりも着水による失敗率の方が高かった。本リメイクではフロートで着水を回避できるためか、敵の攻撃に魚雷が追加されるなど護衛の難易度が上がっている。 全ステージクリア後でもこのミッションはLEFT表記が無く、隠しパーツを入手できていないか判断することができない。全ミッションSランクにしたのに達成率が100%になってない場合はこのミッションを疑おう。 海上施設制圧 依頼者 ムラクモ・ミレニアム 作戦領域 海上採掘施設 敵主戦力 戦闘ヘリ:7、小型砲台:3 敵AC ストリングプラー(ギミックボックス) 作戦目標 警備部隊の排除 入手可能パーツ CR-B83TP(BOOSTER)、YMH07-DRAGON(ARM UNIT R) ヘリと砲台を破壊すると武器腕リニアを搭載したフロートAC「ギミックボックス」が出て来る。 ネスト時代なのにフロート。 ギミックボックスは強化人間。腕リニアが痛いので柱を盾に弾切れを待つという方法もある。 ギミックボックスはまさかのinside爆雷装備。頑張って弾切れさせるとその姿を見れる。 ギミックボックスは2階には上がってこないがジャンプを繰り返して攻撃をしてくる。垂直+連動を撃ち落とすだけで勝てるが、ランクを狙うなら正面からやりあうのが良いか。 正面からやりあうのなら同じく葉フロにガトマシ(or腕マシ)+EOで薄い装甲を穴だらけにしてやろう。リニアの熱と精度の良いロケには注意。 屋上で戦う場合、施設の東側に誘導すれば浮き沈みを繰り返すパターンに入るので、射撃武器でも倒しやすい。 海上で戦うなら、ギミックボックスの出現位置は北東と決まっているので、予め距離を取っておけばENライフルの引き撃ちも有効。この場合はエリアオーバーに注意。 CR-B83TPは施設下部の細い足場の上に落ちている。 YMH07-DRAGONはミッションをクリアすると取得。 正直ギミックボックスよりヘリのミサイルのが厄介だったりする。 施設の北側には砲台が付いていないので、そちらの海上を拠点にすれば損害をだいぶ減らせるだろう。 COMに出番を取られたせいで敵ACについての情報がラナから聞けない。悲しいぜ! 何気にMoAでは無かったムラクモからの依頼である。 傭兵 初代アーマードコア出典。隠しパーツ取得難易度はレボディスクでも1、2を争う。 砲台大破の悪夢。 砲台施設破壊 依頼者 ムラクモ・ミレニアム 作戦領域 人工島砲台施設 敵主戦力 浮遊ガードメカ:多数 作戦目標 全砲台の破壊 初代のミッション「砲台施設破壊」のリメイク。 ガードメカを無視して砲台を破壊してしまうのが手っ取り早い。 ブレードはやめた方が良い。 依頼文にボイスがついたことで、ムラクモ社の依頼主が女性だったことが判明する。 砲台施設防衛 依頼者 ムラクモ・ミレニアム 作戦領域 人工島砲台施設 敵主戦力 投下型爆弾:19、モノレール:1 作戦目標 施設の破壊阻止 入手可能パーツ WH03M-FINGER(ARM UNIT L) 長距離FCSにWマガスナ。旋回も高めておくと安心。長距離レーダーもあるとなお安心。 モノレールは大ロケや構えキャノンで破壊しよう。 モノレールを正面からロックすると緑ロックになるがちゃんとダメージは通ってる。 砲台は爆弾が近づくと攻撃する。爆弾が地上まで迫っている合図なので、砲台の向きを確認して速やかに破壊しよう。 FF以前のFCSはロックオンする際に高度差を計算に入れないため、このミッションの様に目標との高度差が開くと武器の射程距離外の目標もロックオンできてしまい、撃っても弾が届かないという事態が起こりやすい。使用する武器の射程距離を覚え、ロックオンマーカーの距離表示に注意すべし。 WH03M-FINGERは爆弾の落下を2個以内に抑えると取得。 制圧部隊迎撃 依頼者 クローム 作戦領域 人工島砲台施設 敵主戦力 戦闘ヘリ:6、MT 3、飛行MT:3 作戦目標 施設の防衛、敵の撃破 入手可能パーツ KANGI(OPTIONAL PARTS) 砲台が残り1つでもランクSは取れる。パーツを取るのが大変。 OBコア クレホバに主砲とマガスナ。プライドは抜きなレイヴンは左手に火炎放射を持とう。まずはOBで砲台に直行してMTに主砲接射。この時MTが自機に向かってくるかは運次第。攻撃を仕掛けると大体こちらに向かってくるようだ MTは主砲に耐えたりする。追撃を怠らないように。 続いて戦闘ヘリを砂で撃ち落とす。シミター部隊飛来。モタモタしていると砲台が大破するので主砲で撃墜する。 プラズマライフルやバズーカでも良い。 スーパーシミターの出現場所は固定であり、西(遮蔽物無し)、南(壁側)、東(レール側)の3箇所に現れる。西側の敵が出現と同時にプラズマを撃ってくるので、西、南、東の順で倒していけば被害を抑えられる。 Sランクは弾薬費がかかり過ぎると駄目らしい。主砲を駆使して砲台を守りきれば、パーツと同時にSランクが取れることだろう。 KANGIは砲台を全て守りきると取得。 A.I. 初代アーマードコア出典。隠れたアイドルテックポットさんが友情出演。 発電所で鬼ごっこ。 作業用MT排除 依頼者 ムラクモ・ミレニアム 作戦領域 第7発電所 敵主戦力 作業用MT:15 作戦目標 全無人機の破壊 テックポット、NXモード起動。やたら動きが早いので注意。疲れて止まったところを狙撃していく。 足をシャカシャカさせて動き回る。君そんなだっけ? やっぱり発電機は爆発する。初代ほどではないが。 ブレードを狙うと周りの発電機に当たったりする。狙撃が無難。 素早くクリアしてSランクを取るためには、ホバーブースターがなかなか便利。ウザいパイプを無視できるし、上から狙撃できるので発電機に被害が出にくいのもいい。低燃費フレームにEN武器でgo。 保安システム停止 依頼者 ムラクモ・ミレニアム 作戦領域 第7発電所 敵主戦力 戦闘メカ:11 作戦目標 管理装置の停止 入手可能パーツ ANANDA(RADIATOR)、YWL03LB-TAROS(ARM UNIT L) 発電機を破壊する度に気温が上昇するので冷却性能重視のアセンを。ECMも酷いのでこちらもしっかりと。 ジャンプができるACで行くこと。タンクで行って熱暴走になった際にジャンプができなかったのはいい思い出。 最悪の場合地面のパイプを破壊して脱出することになる。やりすぎに注意。 ANANDAは分岐を西へ行った部屋の一番奥に落ちている。取りに行くとランクSは狙えない。 YWL03LB-TAROSはミッションをクリアすると取得。 大バズを持っていけば、道中のナースホルンも一撃で倒せるので、時間を節約できる。 ジャンプも含めた小回りの利き具合から、4脚が便利。不動+R92+電池等で、チャージングになるまえにクリアすればSランク。 爆熱チャージング状態でクリアしてもSランクは取れる。早さが重要な様だ。 武器無しでもクリアできるミッションのひとつ。機体を軽くすればANANDAを拾いながらSランクも取れる。 企業 初代アーマードコア出典。狭い倉庫内でのバトル。装甲は厚めに。 燃料倉庫襲撃 依頼者 ムラクモ・ミレニアム 作戦領域 第6燃料倉庫 敵主戦力 ジャマーメカ:3、小型砲台:6、MT:5 作戦目標 全タンク破壊後脱出 入手可能パーツ WH06PL-ORC(ARM UNIT L) 初代のミッション「燃料倉庫襲撃」のリメイク。 タンクの数は結構多く、見つけにくい所にも配置されている。接近して撃つと巻き込まれるので、銃で破壊。 マシンガンやショットガンが便利。ブレードはいらない。 弾薬費を抑えて高速クリアすればS。右手:Eショット 左手:Eライフル等。 空中に小さなメカが3体浮いている。こいつがECMの原因。ロケットで狙うか、マシンガンやショットガンで吹き飛ばすか。 天井のパルス砲台がかなり痛い。優先して破壊。 WH06PL-ORCは一番奥の部屋の2階の真ん中に落ちている。ただしタンクを破壊しても初代とは違ってパーツは吹き飛ばないのでご安心を。 昔はここにKARASAWAという名銃があってのう・・・ 資材輸送車護衛 依頼者 ケミカルダイン 作戦領域 ドーン・ブリッジ 敵主戦力 戦闘ヘリ:10、戦車:7 作戦目標 輸送車の護衛 入手可能パーツ WB28R-SIREN4(BUCK UNIT) 輸送車というより護送車。 テロリストの台詞を聞いて輸送車を破壊した香具師はいるか。 後方からは襲ってこないので先行して敵を破壊する。左スナとEライフルで。でもあまり先行し過ぎると横からヘリのミサイルで輸送車が狙われる。 「ACにかまうな!」と言うが、輸送車よりACのが近いとACを狙ってくる。ミサイルでそこそこ削られるので対策を。 戦車はE砂1発では落ちない。 橋上は車やら何やらでバリケードが作られているが、輸送車は問題なく避けて進む。進路の確保などは意識しなくていい。 輸送車の上に乗れば自動的に自機ACが盾の役割を果たしてくれる。タンクだと乗っても滑り落ちてしまうので実防重視の重二で行こう。 輸送車は前の方に乗ると止まり、中央に移ると動き出す。ACで車を操縦する事になるとは。 敵に狙いを定めている時に進路変更されると撃ち損じてしまうので上手く操縦すべし。 ミサイル対策はコアの迎撃機能だけでも間に合うが、この方法ならRURIを常時発動しておけるので盤石な守りになる。 敵を全滅させた後は、流れる橋の欄干と海の向こうに朝日が光る乙な風景でも眺めて過ごそう。見とれていてもエリアオーバーにはならないのでご安心を。 ケミカルダイン社の依頼文は女性ボイスで、初代と違い丁寧な口調になっている。2系のバレーナ社に近いが、やっていることはかなり黒い。 クリアした際の文書から、初代のミッション「大型生物駆除」の前日譚である事が分かる。 WB28R-SIREN4は輸送車のAPを80%以上残してクリアすると取得。 侵入者撃破 依頼者 ケミカルダイン 作戦領域 第6燃料倉庫 敵主戦力 MT:10 敵AC ザーカー(コロナ) 作戦目標 施設保守、侵入者撃破 健全な企業w 燃料タンクの爆発に巻き込まれるとAPをごっそり持っていかれる。戦う場所は選ぼう。 四脚やタンクが活躍。キャノンで敵を吹っ飛ばせ。突きも強力で、オーガーを倒しやすい。 部屋に入っていきなりとっつかれたりする。用心しよう。 コロナはリニアにグレに火炎放射と熱武器満載のガチタン。正面からの撃ち合いは辛い。 燃料タンクの誘爆に敵ACを巻き込む方法もあるが、あまりオススメはできない。 細い柱を挟むと、敵ACは回りこんでこないので睨み合いになる。そこを、柱から腕だけ出して撃ちまくる。 密着してとっつきが楽。 主砲でMT、唐沢E砂でACをやればあっという間に終わる。電池も持ってこう。 旋回が鈍いので、旋回の速い機体なら後ろを取り続けることもできる。グレネードのリロードの隙をついて接近するといい。 コロナは両手に火炎放射器・・・燃料が気化してたら施設ごと消し飛ぶのが分かっていたのだろうか。 地下都市 初代アーマードコア出典。ロスヴァイセ姐さん御乱心。おなじみ改造スラッグもあるでよ。 ここのミッションは意地もプライドも抜きなら、アサルトロケット百烈拳が超有効。 市街地襲撃 依頼者 クローム 作戦領域 ガルシティ市街地 敵主戦力 MT:多数 敵AC ロスヴァイセ(ヴァルキュリアC) 作戦目標 3分間の破壊活動 初代のミッション「市街地襲撃」のリメイク 1分経過でシティガード・2分経過でロスヴァイセ出現。昔のままですね。 ロスヴァイセは無理に相手しなくてもいい。負けそうになったらビルの影に隠れよう。 もちろん倒しちゃうのがイレギュラー。遠距離からマイクロ+連動で。 改造スラッグは健在・・・というかNXの仕様(爆熱仕様)により更に凶悪に。可能な限り距離を取るように。ブレードやOBとっつきは厳禁。火炎放射器もガチタンも・・・というか接近戦自体アウト。 対実弾仕様のガチガチタンクなら肩主砲+左手武器で撃ちあいを制すことも可能。ビルを盾にすれば確実。 スラッグにやられないためにも、徹底的に被弾時安定性を重視した機体を用意する。リカオン+EYE1+オプション等。 オブジェクトの大半を破壊し、ガード部隊とロスヴァイセを倒し、弾薬費もかけなければS。 ↑ランクの判定は弾薬費、AP、クリアタイムから算定。つまり何もせず逃げ回るだけでSが取れる。 左にブレを積んでガードを倒し、格納に積んだパルスでロスヴァイセと戦う。右はお好みで。 ブレードは諦めるべし。熱暴走の相乗効果でEN切れになり振る機会も無くスクラップにされる。使うならば序盤のガードのみにとどめておこう。 とっつきは諦めるべし。熱暴走の相乗効果で一瞬でスクラップにされる。 実は対反動が低いので出現位置さえ分かれば主砲+唐沢であっけなく落とせたりする。OPのENリロ強化とEN攻撃upと電池は必要。 REVOLUTION最大の濡れ場。 スラッグ使用中はその場で旋回するだけで全く動かない。そのため、爆雷ミサイルや核なんかも余裕で当てられる。 ちなみに、頭部COMには「スナイパーライフルを装備しているので距離を離すと危険」とアドバイスされる。おいおい。 ヴァルキュリアCは特殊攻撃をするためかMT属性。ロックアラートは無く、撃破すると爆発する。 収入の発生しないREVOディスクの都合上、元のミッションと違いオブジェクトを破壊しても追加報酬は得られない。依頼の文面からも報酬の件は無くなっている。 警備システム攻撃 依頼者 クローム 作戦領域 旧ジリエラシティビル 敵主戦力 追尾型爆弾:多数 敵AC ロスヴァイセ(ヴァルキュリアC) 作戦目標 追尾型爆弾の撃破 入手可能パーツ YH06-LADYB(HEAD) 初代で果たせなかったロスヴァイセとの決着をつけるミッション? 誘導機雷は逃げながら撃つよりも高所から狙撃した方が楽。ロスヴァイセ戦を重視するなら武装の融通が利く前者が無難か。 開始直後に左側の壁の窪みに張り付けば、高確率で誘導機雷をやり過ごせる。 追尾爆弾だが壁にあたると爆発する。誘導性能は完璧では無さそうだ。 途中ロスヴァイセが僚機として来てくれるがなんだか怪し・・・裏切られるのが傭兵の(ry スラッグは使ってこないものの砂の威力がアップ。APも上がっているので長期戦になる。 飛び回るので戦いにくい。垂直+連動やマイクロで攻めよう。これでも弾が足りない場合もあるので腕武器も狙える物を装備したい。 最後の手段は核。5発で倒せてSランクも取得できる。ただし核腕だけだと最低6発消費する事になりランクダウンしてしまうので肩のも使おう。 たまにロスヴァイセがビルの外へ。強化人間とはいえエリアオーバーしないのはすげぇとしか。床の網は砂程の弾なら網目を通す。そんでロスヴァイセは網越しに攻撃してくる。そりゃないぜ。 ロスヴァイセは行動パターンが決まっているのか、特定の地点でのホバリングと移動を繰り返す。ホバリング中はほとんど動かないので、垂直ミサイルやオービットで物陰から攻撃すれば非常に優位に戦える。 ヴァルキュリアは撃破すると爆発するが、↑のミッションと違い爆発した後も機体は残る。案外生存しているかもしれない。 ロスヴァイセが通信をしている間に大ロケなどを当てまくればその後の戦闘がかなり有利になる。場所によっては上手く狙えない位置にいることもあるが。 YH06-LADYBはロスヴァイセを90秒以内に撃破すると取得。味方の間に攻撃した分も体力減ってる? ロスヴァイセの任務の目的はなんだったんだろうか。 破壊活動阻止 依頼者 ストラグル 作戦領域 ガルシティ市街地 敵AC フォッグメイカー(フリーバード) 作戦目標 ACの撃破 入手可能パーツ FUNI(EXTENTION) 市街地襲撃の逆verみたいなもの。 2分半ほど経過するとミッション失敗に。といってもそこまでかかることはまずないが。 フリーバードはマシンガンを撃ちつつ逃げ回る。逃げ足だけは速いのでWガトマシやキャノンで一気に倒してしまいたい。 FUNIは30秒以内にミッションをクリアすると取得。 タンクに土星、電池、主砲に左KRSWを積んで開始早々一斉射撃で滅殺するもよし。 フリーバードは武装が貧弱な上に、こちらをほとんど無視して破壊活動を繰り返すので、防御力はほとんど必要ない。機動力と火力を重視しよう。 市街地襲撃がクロームによるマッチポンプなのは原作通りだが、その計画はストラグルには筒抜けだったようだ。 失われた技術 初代アーマードコア出典。ワイルドキャットのリターンマッチ。 昔の爽やかボイスは見る影もなく・・・ これがNXの強化人間だ! 輸送列車護衛 依頼者 ムラクモ・ミレニアム 作戦領域 ヘヴンズロック 敵主戦力 戦闘機:6 作戦目標 先頭車両の護衛 敵AC ?(ワイルドキャット) 入手可能パーツ CR-YH70S2(HEAD) 初代のミッション「輸送列車護衛」のリメイク。なぜかBGMはデストローイ AC戦が控えているので早めに戦闘機を撃墜したい。が、地味に硬いので高火力武器を。 こちらバルダーと同時にワイルドキャット登場。初期ミサ3連射と構えチェーンも昔のまま 接近してバルダーを庇いつつガトマシ等で蜂の巣に。旋回が鈍いのでサイドを取ろう 単発のはずのミサイルを連射し、チェインガンの連射が早く、おまけに月光を振る速度が尋常じゃなく早い上に反動が強い。うかつに近寄ると滅多斬りを食らうので危険。 両肩ビットが有効。ワイルドキャットの周りを回りながら出しまくる。EN兵器再装填短縮OPもセットで。 初代と違いバルダーは敵の攻撃をかなり耐える。余程戦闘機を撃ち漏らしでもしなければ簡単には破壊されない。 CR-YH70S2はワイルドキャットを30秒以内に撃破すると取得。 ヴァルキュリア同様にワイルドキャットはMT属性。撃破すると爆発する。 機密物資回収 依頼者 ムラクモ・ミレニアム 作戦領域 ノルト・ハイランド 敵主戦力 MT:4、重装甲MT:2 敵AC レヒト・リンクス(ワイルドトロンベ) 作戦目標 目標の回収、敵全撃破 入手可能パーツ RENGA(EXTENTION) 相変わらずサガルマタは固い。対重装の切り札爆雷ミサを使おう。 突っ込んで斬るという手段もあるが、垂直で撃ちのめされないように注意。TGT全回収+全エネミー撃破でワイルドトロンベ戦へ。 ワイルドトロンベはデコイを使ってくるのでミサイルよりもガトマシや砂で。山猫同様旋回が鈍いので回りこんで攻撃。 接近すると頻繁にブレードを振り回してくる。精度は甘いので外したところを集中砲火。 ACを倒すのに少々罪悪感を覚える。 強化人間なのに何故旋回が鈍めなのだろうか。 近づけば軽腕グレを食らうが、離れるとちょこまか動くので当てにくい。 RENGAは北東の丘の上の3本並んだ柱の裏に落ちている。エリアオーバーギリギリなので注意。 輸送列車強襲 依頼者 ??? 作戦領域 ヘヴンズロック 敵主戦力 輸送列車:1 敵AC タナトス(コールホール)、?(ワイルドキャット) 作戦目標 列車の破壊 レイヴン・・・私は・・・何か・・・されたようだ・・・ バルダーを破壊する前にワイルドキャットを撃破してはいけない・・・がダメージを与えておくのはおk。ノーロックで削ろう。 コールホールはワイルドキャットを囮に脇から攻撃。とっつきだって当てられる。 バルダーはワイルドキャットに任せまだまだ痛めつける。 ラストはワイルドキャットを解放してあげよう。 コールホールは接近するとこちらを頻繁に狙おうとする。ここは僚機に任せてしまおう。 コールホールは4脚のクセにパルスライフルを装備しており、オマケに紙装甲という2AAにいそうな欠陥機体構成。ワイルドキャットもいるため、まずこいつにやられることはない。 コールホールより先にバルダーを破壊すると、ワイルドキャットの台詞が一つ増える(バルダー破壊時コールホールが健在していると・・・) こちらバルダーは主砲3発とくそ硬い上にコールホールはワイルドキャットに直ぐに撃破されるので、↑を狙うのは結構大変。 コールホールはワイルドキャットが勝手に倒してくれるし、バルダーを逃すこともまずない。輸送列車護衛より難易度は低い。 強化人間 初代アーマードコア出典。昔はプラスなんて呼ばれていましたね 脱走者処分 依頼者 ムラクモ・ミレニアム 作戦領域 旧ジリエラシティビル 敵AC 汎用AC:1 作戦目標 ACの撃墜 入手可能パーツ I03RN-CORAL(INSIDE) 初代のミッション「脱走者処分」のリメイク。 レ、レイヴ、ン・・・気をつけ・・・お前も・・・ 敵は陽炎1機。相変わらず飛び回るので垂直+連動垂直で。出てきた頃を主砲で狙い撃ちでも良し。 警備システム攻撃がクリアできたならさして苦労はしないはず。時間も結構猶予がある。 敵AIの行動はヴァルキュリアCと似ており、物陰に隠れていると低いビルの屋上に降りるので、足場を盾にした撃ち下ろしが有効。あちらよりは脆いので初期ミサでも撃破可能だ。 元のミッションの依頼文にあった隠しパーツに関する記述は削除されているが、隠しパーツはしっかり存在する。 I03RN-CORALはエリア底部のビルとビルを結ぶ細い足場、一番北の足場に落ちている。 同志救出 依頼者 ストラグル 作戦領域 ムラクモ研究施設 敵主戦力 小型砲台:25、逆関節MT:8、浮遊MT:5 敵AC 汎用AC:1 作戦目標 目標人物の保護 入手可能パーツ YA10-LORIS(ARMS) ほぼ一本道だが敵の数はそれにりに多い。面倒ならカゲキにスルーしてもいい。扉が多いので振り切れる。OBで時間短縮。 天井砲台が脅威。一定時間ごとにクールタイムを挟むのでその間に落とす。 天井砲台を的確に破壊していくためにも、スナイパーやレーザーライフルが便利。 フワフワ動くパペガイもなかなか厄介。全部倒していくつもりなら、十分な弾薬が必要。でもミサイルは使いづらい地形なので、4脚やタンクで。 最深部で目標の陽炎と戦闘になる。大部屋に入って一番右手奥、北西の部屋。救出目標だったが、撃破目標に変更される。合掌。『それが彼のためだと信じる』 「誰だ・・・だ・・・れ・・・俺を・・・とめて・・・・」→肩主砲→ボカーン。 部屋の中に入るより扉付近で待って通路に誘き寄せた方が楽。後ろの壁砲台は事前に撃破しておく。 陽炎はEN耐性が低い。攻略本によると「-2」になってる。 隠し通路のような正規ルートを通る必要があるので初回は結構迷う。ダミーの通路や部屋も多く、APを消耗しやすい。 天井砲台の破壊にはサイトが広く連射が効いて機体速度を稼ぎやすいハンドガンが最適だが、タイミングを合わせて突っ切ればノーダメージでスルーもできるのでブレオンでもOK。苦しむ彼をダガーの一刀で介錯してあげよう。 YA10-LORISはミッションをクリアすると取得。 依頼 プロジェクトファンタズマ出典。スミカの依頼でアンバークラウンに潜入する。 ボイスチェンジャースミカは強化人間のようだ。 実は依頼主はスミカではなくテロリストということが判明。しかも裏切ってくる。 舞台は全てアンバークラウン。地上部にアーチ状の建造物が増えていたり、マップデザインに大幅なアレンジが加えられている。 アンバー・クラウン潜入 依頼者 ??? 作戦領域 アンバー・クラウン 敵主戦力 ガードメカ:5、浮遊メカ:8、小型砲台:16 作戦目標 都市侵入経路の確保 PPのミッション「アンバー・クラウン潜入」のリメイク。 道なりに進んでコンデンサを破壊すればクリア。ブレードをもっていこう。 都市施設侵入阻止 依頼者 A・クラウンガード 作戦領域 アンバー・クラウン 敵主戦力 浮遊メカ:5、MT:9 敵AC 汎用AC:10 作戦目標 敵リーダーの破壊 閉所での戦闘が多いのでブレードを装備。敵の数も多いので装甲も厚めにしたい。Eキャノンも有効。 前半は不知火、後半はオーガー、それぞれ大群と戦うハメに。 不知火は大して怖くない。数は多いものの閉所で機動力が活かせていないし、味方MTに気を取られて背を向けたりする。キャノンなりブレードなりで簡単に倒していけるだろう。 本当に怖いのは後半。狭い場所に格闘MTが出てくるので、油断するとあっという間にやられる。 相手にとっつかれたり掘られたりする前にこっちからやってしまおう。 ムービーを挟んで後半戦。来た道を戻るわけだが、悪意のある配置としか。ムービー終わって直後2体のMTからとっつかれるのはさすがにひどい。 オーガーのとっつきは壁を貫通する。攻撃判定の持続が長いので切り合いも止めとくのが無難。主砲で一撃。 4脚かタンクにEN供給の高い腕・ムーンライト・ブレ強化オプションを組み合わせれば、赤オーガーも一刺し! 月光は隠しパーツなので、無い人はタロスでガマン……タロスも無い場合は格闘はあきらめた方が安全。 ダガーも使ってあげて下さい。ただし攻撃範囲の広い横斬りや袈裟斬りで正面から斬り込むと、クリーンヒットする前に相手のとっつきに固められてしまうので回り込み推奨。 地上施設防衛 依頼者 A・クラウンガード 作戦領域 アンバー・クラウン 敵主戦力 戦闘機:8、MT:6 作戦目標 施設の破壊阻止 入手可能パーツ CR-LH79L(LEGS) クレホバにマガスナと主砲を積んで出撃。MTは遠距離から主砲で、戦闘機はスナイパーで破壊する。 少し防衛対象から離れてアーチの上に乗って応戦すると守りやすい。 ウェルキンの耐久力が意外に高い。シェード2でも足りないので、スピリットあたりを用意して一撃。 オーガーは積極的に前進してこない。まず戦闘機を始末して、それからMTを倒す。 例によって、月光突きを見舞えばオーガーも一撃死してくれる。 ブレードを使わなくても目の前のアーチ?の上に乗って迎撃すれば終わる。 CR-LH79Lはジェネレータを全て守りきると取得。 イレギュラー プロジェクトファンタズマ出典。面倒が嫌いな人が登場だ! PPベースのEXTEND、REVERSEミッションはウェンズデイ機関からの依頼が多数。ヒロイックな本編とは反対に黒い依頼がたっぷり。 救出 依頼者 ??? 作戦領域 環状回廊45度分岐点 敵主戦力 車両:2、MT:8 作戦目標 護送車の拘束 入手可能パーツ JIREN(EXTENTION) PPのミッション「救出」のリメイク。 広いので敵の場所が把握しにくい。広域レーダー推奨。 一応車両に付いていけば全ての敵と合流できるが、時間がかかってランクSは取れない。車両を破壊したら先回りしてMTを破壊していこう。 最初に車両を破壊しておくほうが無難か。 敵はオーガーのみ。広いマップなので、遠距離から仕留めるのが無難。 JIRENはエリア一番北に落ちている。敵の全滅と車両の破壊が済むとミッション成功になってしまう。拾うタイミングに注意。 いいか、俺は面倒が嫌いなんだ レイヴン抹殺 依頼者 ウェンズディ機関 作戦領域 軍事演習場 敵主戦力 浮遊メカ:8、走行メカ:4 作戦目標 当該基地の探索 怪しすぎるぞウェンズディ機関! 突入するとスミカの亡骸が。 太刀風無視で浮遊メカだけ淡々と撃墜すれば問題無し。 マガスナ2発で落ちるので特に苦戦はしないはず。 抹殺と銘打ちながら、こんな戦力で本気で抹殺する気だったのだろうか。 敵メカはどちらも無限に湧いてくる。浮遊メカが新しく湧く前に言われなくてもスタコラサッサだぜぃ! 偽装 依頼者 ウェンズディ機関 作戦領域 環状回廊45度分岐点 敵主戦力 装甲車:2、浮遊メカ:8 敵AC 汎用AC:7 作戦目標 敵の全破壊 同じく広域レーダー推奨。 前半は随時出てくるファイアフライを撃墜していき、後半では不知火隊を倒す。 ファイアフライは何を使っても倒せるので、不知火向けの武装をもっていくと良い。E防御が低い相手なので、両手にレーザーなどが良い。 不知火はよくジャンプして攻撃を避けてくるので、よく近づいて正確に撃とう。 不知火が地形に引っかかって中々近づいてこないことが多い。作戦領域が狭いので、こちらから近づく場合はエリアオーバーに注意。 ブリーフィングで説明される通り、味方の車両はただの囮。破壊されてもミッション失敗にはならない。 ウェンズデイ機関 プロジェクトファンタズマ出典。ファンタズマ計画の全貌が明らかになる。 説明が省かれているのでPP未プレイ者にはスミカって誰よ?ってなってしまうのでは。 演習場突入 依頼者 スミカ 作戦領域 軍事演習場 敵主戦力 浮遊メカ:5、MT:2、重装甲MT:2 作戦目標 敵勢力の全滅 PPのミッション「演習場突入」のリメイク。 リメイク元より格段に敵の数が減少。垂直ミサが痛いので、サガルマタはデコイを撒きつつブレードで。 スミカの無敵っぷりも健在。とっつきでも主砲でもびくともしねー。 どうしてもスミカを撃墜したければ次元とっつきで。 地道に自分に近い敵から攻めて行くと最後にサガルマタの垂直ミサイルを平気な顔して受け止めている僚機を拝める。 ど、どこにそんな装甲が。 サガルマタとオーガーという、耐久力自慢の敵が多く出現する。ブレードを強化した4脚などで行くと楽。 爆雷ミサイルも壁を越えて敵に大ダメージを与えてくれるので便利。 ここのBGMは元のミッションで流れていた「Circulation」のアレンジ版。原曲でプレイすれば更に懐かしい気分に浸れるだろう。 試作兵器性能テスト 依頼者 ウェンズディ機関 作戦領域 ガルシティ市街地 敵主戦力 ガードMT:多数 強制僚機 ヴォルテックス(ディスチャージャー) 作戦目標 戦闘データの提供 入手可能パーツ WHO4HL-KRSW(ARM UNIT R) AC「ヴォルテックス」とMT撃破レース。結構機動力があるので接戦になる。 ヴォルテックスは唐沢ガトマシ小ロケ2丁。EOは使わない。 MTの出現位置はいくつかある中からのランダム。位置によってはヴォルテックスに先を越されるが、めげないこと。 開始直後にACの進路を塞ぎ、時間間際に目の前のMTを倒せば楽にクリア出来る。 射線上に立っていると後ろからいろんな物で撃たれる。そこそこの装甲も必要。3割程度の耐久でもランクS可能。 ブレードで斬り裂いて行くならダガブレで一撃離脱。手間取ると後ろから撃たれる。 ヴィクセン腕とブレード威力強化opをつけたのならロングブレードでも選択肢に入る。脚部は二脚に限られるが一回の袈裟斬りでMTを2、3体まとめて撃破できるのでなかなか爽快。 まともにレースするならMTを1撃で撃破できる武器と先回りできる機動性が欲しい。あと高性能レーダーがあると便利。OBが有効。 MTは3機が一箇所に固まっているため、主砲でもないと爆散するのに時間がかかりターゲットの移行が遅れて殲滅速度が落ちるが、わざとサイトを狭くすることでターゲットの移行をスムーズに行えるようにできる。遠距離FCSで並列処理能力の低いF91DSNの出番。 WHO4HL-KRSWは試作機より3機以上多くMTを撃破してクリアすると取得。 戦闘データ採取 依頼者 ウェンズディ機関 作戦領域 軍事演習場 敵主戦力 戦闘ヘリ:3、MT:4、飛行MT:4、浮遊MT:3 作戦目標 模擬戦闘への参加 入手可能パーツ KARURA(BACK UNIT) 障害物が多いので飛べる機体がいい。砂やミサイルがオススメ。 敵の位置が分からなくても奮戦してくれる味方戦車が教えてくれる。 KARURAはスタート地点からすぐ南方向にある倉庫の中に落ちている。 出現する敵は、オーガー・スーパーシミター・パペガイ・レプラコーンの4種。 それぞれ性質の異なる敵なので、複数の武装を持っていくと良い。 オーガーにはブレード、それ以外にはミサイルが有効。弾薬費を発生させたくなければ、強力なレーザーライフルを用意する。 シミターはたまに城壁に引っ掛かってる所を狙うと楽。 これも爆雷ミサイルがあると楽。パペガイやスーパーシミターはよく壁に引っかかるので、一発で撃破できることも多い。 アンバー・クラウン プロジェクトファンタズマ出典。レクテナ受信施設での激戦。 受信施設防衛 依頼者 スミカ 作戦領域 レクテナ受信施設 敵主戦力 戦闘ヘリ:9、ガードメカ:5、飛行MT:4 作戦目標 敵勢力の全滅 入手可能パーツ CR-LRJ84M(LEGS) PPのミッション「受信施設攻撃」のリメイク。 受信施設上部を飛ぶと黒焦げになるので注意。 つまり、ブーストを使ったジャンプは厳禁ということ。スミカ・ユーティライネンです(´・ω・`) 地下は施設が集中しているのでマシンガンやショットガンは厳禁。ブレードがオススメ。 地下のナースホルンは、すぐに部屋に入らず壁際まで誘うと誤射なく倒しやすい。 PPでは施設が壊れても減算されるだけだったが、収入が発生しないREVOディスクでは、破壊され過ぎるとミッション失敗になるよう変更された。その分、施設の防衛自体は簡単になっている。 CR-LRJ84Mは受信施設の破壊を5機以下に抑えると取得。 襲撃部隊排除 依頼者 ウェンズディ機関 作戦領域 レクテナ受信施設 敵主戦力 ガードメカ:8、装甲車:2 作戦目標 敵の全滅 入手可能パーツ MIROKU(FCS) 暗いがターゲットマーカーが出ているので分かりやすい。 地下に仕掛けられているのは2つだけ。流石に施設上部に仕掛けられてはない。 やはり地下で連射武器は厳禁。一撃の威力が高い大バズやオーガでやると少しは楽。 MIROKUはミッションを2分以内にクリアすると取得。 奪還部隊迎撃 依頼者 ウェンズディ機関 作戦領域 レクテナ受信施設 敵主戦力 戦闘機:28、追尾型爆弾:8 作戦目標 敵勢力の完全撃破 敵の数が多く長期戦になる。弾速と弾数のある武器推奨。 Wマガスナが安定。FCSは並列処理重視で。 第4波までは自機を狙ってくるが、ラストの第5波は施設に向かってすっ飛んでいく。素早く撃墜しよう。 追尾爆弾以外は施設から離れて応戦した方が施設に被害が出なくて済む。追尾爆弾はスナイパーライフルで速攻。 戦闘機は意外に耐久力が高く、一発で倒すにはスピリットなど1500前後の威力が必要になる。 戦闘機によるレクテナへの被害が少なければ、追尾爆弾は放って置いてもクリアできる。 補正と弾速、弾薬費の面から、Wカラサワもおすすめ。 慌てて受信施設上部を飛ぶとやはり黒焦げになるので注意。 ステージ端の高台に上って狙撃していくと、被害を少なく抑えられる。 スミカ プロジェクトファンタズマ出典。スティンガーと直接対決。あれ?武装が・・・ スティンガーを出し抜いてタイトルにされるスミカ。なんか納得いかないぞ? 本部施設襲撃 依頼者 スミカ 作戦領域 ウェンズディ機関本部 敵主戦力 小型砲台:20、ガードメカ:38、浮遊MT:4 敵AC 汎用AC:7 作戦目標 機密入手後脱出 入手可能パーツ NIOH(ARM UNIT R) PPのミッション「本部施設襲撃」のリメイク。 敵の数が多く施設も広い。OBを使って駆け巡り、ブレードを使って弾切れを凌ごう。 床下に落ちていくナースホルンが見れる。 目的地は「同士救出」と同じ場所。 弾薬は最深部で回復するので、弾薬に多少不安がある武器でも何とかなる。 往路で使い切った武器があってもパージしない方が良いだろう。 最後にはウェンズデイ機関からのプレゼントがある。 やはり天井砲台のレーザーが痛い。一気に進まずに、確実に倒しながら進もう。 天井砲台は「同志救出」の物より耐久力が上がっており、ハンドガン1発では破壊できない。レーザーの発射間隔も短くなっているので、一瞬で破壊できる攻撃力700以上の武器を使うと良い。 マップが複雑なのでマッピング機能付きの頭部推奨。帰りのルートでナースホルンが復活するので、迷っているとどんどんAPが失われていく。 元のミッションと違い、陽炎戦でエリアオーバーしてもスミカが撃破されるムービーは発生しない。 NIOHは縦穴に入る前の部屋にある換気口を破壊、その先の縦穴上部にある天井の換気口(エリアオーバー側でない方)を破壊して行ける部屋に落ちている。 機密運搬車護衛 依頼者 ウェンズディ機関 作戦領域 環状回廊45度分岐点 敵主戦力 飛行MT:2、浮遊MT:2 敵AC スティンガー(ヴィクセン) 作戦目標 機密運搬車の護衛 入手可能パーツ YWH07-DRAGON(ARM UNIT R)、YH08-MANTIS(HEAD) まずはMTと戦う。運搬車が規定位置に辿り着くととスティンガー登場。MTを残しておくとスティンガー戦でも邪魔をしてくるが、倒さなくてもクリアになる。 スティンガーとの対決。ヤツの主兵装は・・・デコイ。 スティンガーは産廃ドラゴンで戦ってるので、遠距離からマガスナ等を使おう。時折意味のないところでブレを振る。色もあってなかなか良い無駄なアクセント。 このNX仕様のヴィクセン、明らかにPPやAAよりも弱い。いくらなんでもこれは悲惨である。ヴァルキュリアのようにMT属性にして2AAのように武装を強力にしてあげてもよかったのに・・・ 機動力はあるが装甲が薄い。実弾兵器がオススメ。E兵器には結構な耐性があるように思える。 運搬車の上に乗りながらイベントムービーを見ると、ムービー終了時になぜか領域外に・・・ ブレで斬り合いを挑んでも、ヴィクセンブレはリロが長いので結構勝てる。 場所といい車両といい、「救出」とかなり雰囲気が似た内容のミッション。スティンガーと初めて出会った場所で戦うのは中々熱い。 YWH07-DRAGONはスティンガーを45秒以内に撃破すると取得。 YH08-MANTISはミッションをクリアすると取得。 ファンタズマ プロジェクトファンタズマ出典。アビスへようこそ!もリメイクしてほしかった。 対決 依頼者 スミカ 作戦領域 ノルト・ハイランド 敵主戦力 装甲車:3、飛行MT:3、重装甲MT:2 敵機動兵器 スティンガー(プロトタイプファンタズマ) 作戦目標 ファンタズマの破壊 入手可能パーツ WL-MOONLIGHT(ARM UNIT L) PPのミッション「対決」のリメイク。最終決戦であるアビス戦は語られず終い。 サガルマタもファンタズマも爆雷ミサ1つで解決。サガルマタは2発、ファンタズマは4.5発(もっと少ない?)で終了。残りの積載は他のMTを倒す用に回そう。 これでは味気ない人は真面目に戦おう。プラズマキャノンが強力なので軽量機で背後を取るように。垂直はデコイで。 垂直ミサイルは地面に円を描く様に動けば避けやすい。雪原という舞台も相まって魅せる戦い方ができて気持ちイイ。ミッションでもリプレイ再生できたら良いのに。 ファンタズマはフラフラ上下に揺れながら浮遊するせいで弾が当たりにくい。 WL-MOONLIGHTはミッションを1分以内にクリアすると取得。 上に乗るのは無理なのであしからず。 エリアオーバーギリギリの3本柱のあたりが、比較的安全に戦えるポイント。プラズマは大体当たらなくなる。 このポイントに陣取って前座を片付けると、超カッコいいMYACの勇姿が見れる。 ファンタズマ破壊 依頼者 スミカ 作戦領域 ノルト・ハイランド 敵主戦力 戦闘機:11、MT:2 敵機動兵器 プロトタイプファンタズマ:2 作戦目標 試作機体の完全破壊 入手可能パーツ YLH11-VIXEN(LEGS) ファンタズマは2機で襲ってくるものの前回よりも弱体化している。中の人のせいだろうか。 やはり爆雷ミサが有効。耐久力が下がっているので正面から戦っても勝てる。 YLH11-VIXENはミッションをクリアすると取得。 余談だが、このミッションと上の「対決」ではファンタズマ登場時にBGMが変化し、2で使われた「Lynch Law」になる事がある。原因は不明。↓も同様。 弱体化と言われてはいるが、前座の多さと二機のファンタズマはやはり脅威。垂直ミサイルも撃ってくるので舐めてかからない事。 丘の上に登り、戦闘機を殲滅したあと、下にうろちょろしている一輪車を殲滅するとダメージが抑えられる。 秩序を破壊するもの 初代アーマードコア出典。初代でもインパクトのある面々が再び。 クローム残党掃討 依頼者 ムラクモ・ミレニアム 作戦領域 クローム軍事基地 敵主戦力 MT:16 敵機動兵器 デヴァステイター:1 作戦目標 残存部隊の発見・掃討 入手可能パーツ BYAKUE(EXTENTION) 初代のミッション「クローム残党掃討」のリメイク。 初代ではMTがいる部屋はもっと広かった。が、今回はどこも狭く、攻撃を避けにくい。複数同時+ダガブレ一回では落ちない耐久と結構面倒。 ブレードでは挑まず一撃で破壊できる射撃武器を詰むのが無難。 オグレなら一撃だが、無駄弾が撃てないのと燃費が気になる。要対策。大バズでも一撃だが、どちらにせよ弾が少なく無駄弾は撃てない。こちらだと弾薬費も掛かる。 部屋に突入して一気に掃討なんてやっているとAPがかなり削られる。扉付近から慎重に狙って敵を減らしていきたい。 MTを全滅、地上に戻ると「レイヴン、助けてくれ!化け物だ!」 デヴァステイターは昔に比べてかなり強化されている。連続してミサイルを発射してくるのでデコイを。 どうしても倒せないときはやっぱり爆雷ミサイル。あっさり終わる 開始早々ロケット百烈拳………では味気なさ過ぎる…か。 正面から行くなら主砲ぐらい火力のある武器がほしい。 中距離からならグレネードは避けやすくなる。ミサイルに注意しつつお好きな武器で挑むのも一興。 コイツの頭の上に乗ってデコイや上手くミサイルを逸らさせると勝手に自滅する。 足元に突っ込んで仁王を2発かませば、あっという間に撃沈する。 高速フロートなどで死角を取り続けるのもいい。上手く立ち回ればブレードだけでも倒せる。 BYAKUEはデヴァステイターを30秒以内に撃破すると取得。 抵抗勢力せん滅 依頼者 ムラクモ・ミレニアム 作戦領域 クローム軍事基地 敵AC 汎用AC:7、ボス・サヴェージ(スレッジハマー) 作戦目標 所属不明部隊の撃破 入手可能パーツ CR-YH85SR(HEAD)YC07-CRONUS(CORE) 前半は着地時の硬直を狙って攻撃。 前半戦は弾速が早くて威力が高い武器があると便利か。 ACを全て倒すとボス・サヴェージが出現。カラーリングが派手になりました。 装甲は厚いがその分機動力は低め。距離を取って垂直+連動垂直で。 前のミッションでデヴァステイターが出撃したハッチを開けれちゃったり、その中にボスを落下させれたり。 極稀にボス・ザヴェージがドカンしてミッションが進まなくなることも。原因は不明。 ストーリー的には初代の「クローム基地再調査」に近いミッション。レボディスク最後のミッションとしては大した難易度ではないが、初めて主人公をイレギュラー認定したボス・ザヴェージと戦える点ではラストに相応しいと言える。 CR-YH85SRはボス・サヴェージを30秒以内に撃破すると取得。 YC07-CRONUSはミッションをクリアすると取得。 コメント デヴァステイターの上に乗っていれば自分の撃ったグレで自滅することがある・・・・らしい? -- 名無しさん (2006-08-11 17 53 47) 「燃料倉庫襲撃」でタンク破壊してもパーツは消えません。初代のKARASAWAも壊れなかったし。MoAのMT暴走ミッションと混同しているのでは? -- 名無しさん (2006-09-03 19 54 59) 壊れないのはPSone版。通常版は綺麗に吹っ飛ぶ。 -- 名無しさん (2006-09-11 23 46 25) ↑↑↑それどころか、丘の裏から観察してると、正面マルチミサのうち数発が撃った直後に暴発し、7分ほどであぼーん。発射孔の直前に当たり判定があると思われる。ナンテコッタイ -- new{2007-02-20 (火) 21 51 43}; 陽炎の肩にある装甲はこちらのエクステンションのやつと同じ -- レプラコーン (2013-05-27 09 30 11) オーガーは旋回が弱点。正面からのブレードは良くない。 -- 名無しさん (2013-07-12 19 49 59) ワイルドキャットの台詞が増えるって見たけどどんな内容? -- 名無しさん (2013-09-09 17 39 09) 上の続き 予想以上に短かった -- 名無しさん (2013-09-14 18 54 15) 「潜水艦死守」はパーツ回収済みかどうか表示されないので、達成率100%にならない人はここを確認してみると良い -- 名無しさん (2013-11-25 22 14 03) デヴァステイターに腕核+連動ミサでBYAKUEをGET! -- 名無しさん (2015-01-18 17 08 04) 同じくサガルマタとファンタズマに腕核+連動ミサを一気にブチ込み月光をGET!! -- 名無しさん (2015-01-18 17 24 12) 強すぎるよ…ロスヴァイセ姉… -- 名無しさん (2015-03-31 01 39 09) 試作兵器性能テストのヴォルテックスはたまに道路にひっかかる -- 名無しさん (2015-07-02 20 56 46) こういう旧作のミッションに挑めるモード、Vシリーズでもやってみたいな -- 名無しさん (2015-09-29 17 25 16) 列車襲撃の開始時に流れるステーションを見つめるワイルドキャット自機のカットがBGMも相まって滅茶苦茶カッコイイと思うのは自分だけ? -- 名無しさん (2016-03-16 23 59 26) 制圧部隊迎撃のシミターはデモの後島の建物に立ってると自機を狙うようになってなかったっけ?それでパーツ楽に取れた気がするが -- 名無しさん (2016-08-05 23 18 18) ↑↑絶対かっこいい -- 名無しさん (2016-10-13 10 43 38) ちなみに、列車襲撃の時に列車破壊の後、ACが生きているとワイルドキャットのセリフに「AC· · ·破壊· · · する· · · 」が追加される -- レイヴン(仮) (2017-03-01 21 46 13) 列車襲撃の時に自機とワイルドキャットが並ぶのはテラカッコヨスだが、自機の頭を弱王ヘッドにするとかなり映えた。個人的に。 -- レイヴン(仮) (2017-03-01 21 54 07) バケツ頭自体、悪役風のデザインでカッコいいんだけど付けると弱王の印象が強過ぎる。歴史の闇に消えた管理者実働部隊。 -- 名無しさん (2017-03-02 10 47 46) まぁ大好きですけどね! -- 名無しさん (2017-03-02 10 54 19) 潜水艦死守、魚雷1撃食らったけどリニャーもらえた...? -- 名無しさん (2017-07-15 03 53 29) 制圧部隊迎撃は最長FCS、爆雷でMTを排除(これに限らず、遅くて幅のある敵は爆雷が極めて有効)し、両手スナイパーでヘリと追加MTを破壊するとSが狙える。 -- 縦河 (2017-08-12 02 43 56) ↑続き。おそらく弾代が多いとまずい。初期配置MTを爆雷か両手スナイパー、ヘリをスナイパー、増援のMTを初期ミサイル+連動ミサイルだと被弾を抑え何度やってもA止まり。 -- 縦河 (2017-08-12 02 51 03) 爆雷が有効な敵はEVOのクレストnoMT -- 縦河 (2017-08-12 02 55 17) ↑ミス。EVOクレストのMTと戦車。REVサガルマタ、デヴァステイター、ファンタズマ -- 縦河 (2017-08-12 02 57 03) 輸送列車護衛、Sランク取れない -- 名無しさん (2018-03-27 10 04 36) 「輸送列車護衛」、どうも高ランク(S)取るためには弾薬出費を抑える必要がありそう。 -- 名無しさん (2018-06-08 22 41 35) ミサイル機体で速攻&AP温存クリアしてもAどまり、E武器オンリー (主砲&唐沢)で多少AP消費多くても(8800→6800)ランクSだった。 -- 名無しさん (2018-06-08 22 41 54) ちなみに最後、野良猫は月光で突き殺したけど。 -- 名無しさん (2018-06-08 22 43 27) イラストが55/60でコンプできない…どういう条件で集まるんだっけ? -- 名無しさん (2018-06-09 01 09 12) ↑恐らく、ALL S+隠しパーツコンプ。抵抗勢力せん滅をAクリアにして、隠しパーツ全取得+他のミッションをALL Sでイラスト55/60だった。抵抗勢力せん滅をSクリアしたらコンプになった -- ViViT (2018-08-15 23 48 57) Revoの方がちゃんと作ってるよねw -- 名無しさん (2018-08-31 19 25 07) 隠しパーツ全取得、オールS取得、倒す必要のない敵ACも全部倒した…なのに達成率99% なんでだ!なんでーーー!?もう疲れたよ -- 名無しさん (2019-06-10 21 39 42) ↑EVOの敵AC -- 名無しさん (2019-11-24 13 20 29) ミスった。考えられるとすれば、EVOの敵ACに倒してない奴がいるとかかな? あとはフリーミッションでは手に入らないパーツを見落としてるとか -- 名無しさん (2019-11-24 13 23 00) あとはフリーミッションに登録されないミッションをクリアしそこねているか……とか(連投すみません) -- 名無しさん (2019-11-24 14 25 48) デヴァステイター君は実は距離を離しまくるとミサイルをロケ撃ちし始める、ついでにいうと地上にいるとあろうことか自分に当たって自爆する、時間はかかるけど -- 名無しさん (2020-08-01 23 54 52) 抵抗勢力せん滅 にて雑魚ACが床をすり抜け落下する現象が発生。レーダー表示が黄色になった直後、レーダーから消失、敵戦力ゲージもその分減少。継続への影響はなし。ちなみにデヴァステイターのハッチとは無関係の個所 -- 名無しさん (2021-02-13 17 20 53) 名前 コメント
https://w.atwiki.jp/360nxe/
このWikiはXBOX360 NXEの情報をまとめるサイトです。 情報元: xbox360インスコ検証スレ(過去スレ) http //namidame.2ch.net/test/read.cgi/ghard/1222790155/ xbox360インスコ検証スレ 02(過去スレ) http //dubai.2ch.net/test/read.cgi/ghard/1225608967/ xbox360インスコ検証スレ 03(過去スレ) http //dubai.2ch.net/test/read.cgi/ghard/1226044473/ xbox360インスコ検証スレ 04(現行スレ) http //dubai.2ch.net/test/read.cgi/ghard/1227257154/ インストール時間、ロード時間などの数値は、環境によって変化する可能性がありますので、あくまで目安程度に。 2009.8.11のアップデートでインスト容量が削減されるようになりました。 (例:EDF3、3.4GB→1.6GB、Forza2、5.GB→4.7GB) ディスク起動時に読み込みを早くする為のダミーデータを、インストール時には排除するようにした為だと思われます。 FAQ Q 全てのソフトがインスコ対応してるの? A DOAX2は現在未対応 インストール未対応のソフトはこちらを参照のこと。 また、インストールは出来ても、実際に動かすと不具合が出るソフトもあります(PGR4等) ※アガレスト戦記は8.11アップデートで、ライオットアクト(Crackdown)は11月アップデートで対応。 Q インスコ容量はどの位? A ディスク1枚につき、98MB~7.8GBまで 20GBHDD(空きが13GB)の場合1~3枚 120GB(空きが110GB)の場合16~32枚インスコ可能 Q インスコに掛かる時間は? A ディスク容量により、1分から12分程度 ドライブのヘタり具合により変化 Q 起動時にディスクは必要なの? A 当然必要。インスコゲーム起動時にディスク挿入を促される 複数枚のタイトルは状況に応じてディスクを入替える必要あり Q どの位速くなるの?ロード短縮以外のメリットは? A ゲームにより様々。キャッシュを有効活用しているタイトルは効果薄 効果が大きいタイトルでは、ロード時間半減するものもある インスコのメリットはロード短縮、静穏化、 スンピンドルモーター停止による低発熱化・低消費電力化、ドライブ負荷の軽減、 ディスクロードに起因するバグやテクスチャの張り遅れなど Q 旧箱ソフトもインスコ可能? A 現状不可。今後対応するかは不明 Q インスコしたゲームを削除したんだけど消えてない、あるいはエラーが出て削除出来ないんだけど? A 本体を再起動してもう一度削除してみればちゃんと消えるはず。 Q どうやったらテーマ変更できるの? A 起動したら、xbox360→プロフィール(アバターがいるところ)→テーマの変更 このWikiに報告がない作品は、このサイトなら載ってるかも ttp //www.stripesonfire.com/xbox-360-game-install-list/ トレイの出し入れコントローラーで操作できなくない? -- 名無しさん (2008-11-19 21 10 35) HDMI接続で音が出なくて困ってる奴ら、メモリーの画面でLB+RB+Xで旧システムに戻せるらしいから試して見れ。 -- 名無しさん (2008-11-20 14 29 39) ↑試してみたけど何も起こらなかった。ちなみにディスプレイはBenQのG2400Wで本体はHDMI端子あり通常版、症状は音のみ全く鳴らない。 -- 名無しさん (2008-11-20 22 49 37) メモリの画面ってどこ? -- 名無しさん (2008-11-20 23 01 42) テーマが物凄く見え難くなったんだけど、ウィンドウ出てるところテーマ見えるように透過したりできないの??? -- 名無しさん (2008-11-20 23 15 52) ↑ちょうど自分もその事を調べてた。画像が上半分しか見えないのはどうなのよ -- 名無しさん (2008-11-21 00 11 17) ↑×5何も変わりませんね。残念です -- 名無しさん (2008-11-21 00 54 21) 髪の色新しいページが出たんです。消えましたが。 -- 名無しさん (2008-11-21 02 24 47) 1440x900の解像度で16 10ができるそうですが、自分のPCモニターだと上下に黒帯ができます。16 10の全画面表示できるの? -- 名無しさん (2008-11-21 22 27 24) 上下黒帯で正常です。ドットバイドットで表示出来るだけありがたく思いましょう。 -- 名無しさん (2008-11-22 02 43 41) なーる。黒帯がデフォで、ちゃんとDbDになってるのね。 -- 名無しさん (2008-11-22 10 45 59) HD -- KEN (2008-11-23 01 57 06) LIVEでの自分の評価って見れる? -- 名無しさん (2008-11-23 17 54 37) インスコしたゲームはどうやって消すの? -- 名無しさん (2008-11-23 23 31 28) ↑x2 評価はしいたけメニューの一番右にあるプロフィールから確認できました -- 名無しさん (2008-11-24 15 31 03) ホントだ、調べてたつもりだったけど見落としてた、ありがとう -- 名無しさん (2008-11-24 17 48 28) プレイヤーアイコンを昔のに再設定できないですか?どこでやれるか不明・・・ -- 名無しさん (2008-11-25 00 05 19) NATタイプの確認できます? -- 名無しさん (2008-11-25 01 48 21) アイコンの質問とか自分で少しは探せよ… -- 名無しさん (2008-11-27 09 08 40) 色々試すとか、ググルとか何で当たり前の事ができないんだろ -- 名無しさん (2008-11-27 16 50 03) ギアーズもお願いします! -- 名無しさん (2008-12-01 13 32 28) ネット環境ない人の朗報!!公式でNXEのアップデートを落とせるようになったよ!! -- 名無しさん (2008-12-18 10 53 22) 2k9インスコできますか? -- 名無しさん (2008-12-25 12 32 43) PGR4みたいにインスコできるけど不具合が出るタイトルもまとめて下さいな。 -- 名無しさん (2009-01-30 14 54 34) 4月2日のアップデート適用したけどアガレスト戦記未対応のままだよ。 -- 名無しさん (2009-04-07 22 23 29) アガレスト~アガレスト~ -- 名無しさん (2009-05-22 13 50 03) NXE2.0、アガレスト戦記のインストールが可能のようです。今インストール中。 -- 名無しさん (2009-08-02 16 01 49) プレビュー参加者以外でライオットインストールできるようになった人います? -- 名無しさん (2009-10-31 13 22 52) "全タイトル"のページがだいぶ荒らされていますね… -- 名無しさん (2009-11-24 15 37 05) ↑ある程度修正しますた。 -- 名無しさん (2009-11-24 16 22 04) ↑×3 出来ました。ちなみにプラチナ版です。 -- 名無しさん (2009-12-03 12 52 56) ついでに全タイトルが度々スパムに荒らされるので規制出来ませんか? -- 名無しさん (2009-12-03 12 53 50) 2010/4/6アップデートでUSBメモリ対応しましたけど、これバージョンなんぼにします?後、実は転送ケーブルを使って純正HDDを1台接続できるようですけど、こっちにインストールすることはできない模様。 -- 名無しさん (2010-04-06 20 31 47) 速度面で若干遅いけどリーダーライタ+SDカードにインスコ可能だね。20GやHDD無しでも事実上無制限にインスコ出来る。 -- 名無しさん (2010-04-06 23 04 02) インスコしたあと、Y押し項目でHDDから始めるが無くなった? -- 名無しさん (2010-04-14 01 59 16) ↑なくなったかわりにHDDやメモリ等のアイコンが表示されてますよ。 -- 名無しさん (2010-04-14 23 15 39) あとY押し前でも自動でインスト場所から起動してくれるようになってます。 -- 名無しさん (2010-04-14 23 19 00) インスコしてディスク選べ奈 -- 名無しさん (2010-04-15 19 25 24) ミスった(汗) 以前からインスコしておいてディスク選べば勝手にディスク止まってたと思う -- 名無しさん (2010-04-15 19 26 35) ↑そうでしたっけ?即ダッシュボードに戻ってたから止まるのを知らなかっただけかも・・・失礼しました。 -- 名無しさん (2010-04-15 19 38 04) ゲームオンデマンドで購入したソフトをUSBに落として、別のHDDにいれるのは出来ますか?もちろんゲーマータグは同一です。 -- 名無しさん (2010-09-08 22 57 37) スレも無くなってるようだし、全タイトルと各ジャンルに書かれてる作品が整理できていないし、作品ごとにページがある実績wikiに統合したほうが何かと便利じゃないかな?と最近思う… -- 名無しさん (2012-07-28 14 30 09) NXE3.0=メトロ? -- 名無しさん (2012-07-29 12 15 13) ダッシュボードのβテスター限定ですが、USBメモリ1本の上限16GBから32GBにアップされたようです -- 名無しさん (2012-08-29 14 55 28) 総合スレにて、いつの間にか64GBフルで使えるようになった!という噂が書かれてました。(最近本体のアップデートあったっけ?) -- 名無しさん (2013-07-07 12 57 00) 名前 コメント