約 1,895,841 件
https://w.atwiki.jp/ohagicup/pages/15.html
OHAGI CUP in SIRIUS 過去ログ #01 neogenesis #02 lights #03 GRADIUS-FULL SPEED- #04 250bpm #05 route 80s #06 Holic #07 少年A #08 No.13 #09 CaptivAte~誓い~ #10 The Hope of Tomorrow #11 Ready To Rockit Blues #12 ANDROMEDA #13 EDEN #14 op.31 叙情 #15 叶うまでは #16 KEEP ON MOVIN #17 Scripted Connection⇒A mix #18 Dreamin Sun #19 Fly Above #20 Dazzlin Darlin #21 Apocalypse~dirge of swans~ #22 Monkey Dance 09 #23 INSERTiON #24 ADVANCE #25 Dr.LOVE #26 Daisuke #FINAL THANK YOU FOR PLAYING
https://w.atwiki.jp/aloha-yoga/pages/9.html
@wikiにはいくつかの便利なプラグインがあります。 アーカイブ コメント ニュース 動画(Youtube) 編集履歴 関連ブログ これ以外のプラグインについては@wikiガイドをご覧ください = http //atwiki.jp/guide/
https://w.atwiki.jp/alohajapan/
@wikiへようこそ ウィキはみんなで気軽にホームページ編集できるツールです。 このページは自由に編集することができます。 メールで送られてきたパスワードを用いてログインすることで、各種変更(サイト名、トップページ、メンバー管理、サイドページ、デザイン、ページ管理、等)することができます まずはこちらをご覧ください。 @wikiの基本操作 用途別のオススメ機能紹介 @wikiの設定/管理 分からないことは? @wiki ご利用ガイド よくある質問 無料で会員登録できるSNS内の@wiki助け合いコミュニティ @wiki更新情報 @wikiへのお問合せフォーム 等をご活用ください @wiki助け合いコミュニティの掲示板スレッド一覧 #atfb_bbs_list その他お勧めサービスについて 大容量1G、PHP/CGI、MySQL、FTPが使える無料ホームページは@PAGES 無料ブログ作成は@WORDをご利用ください 2ch型の無料掲示板は@chsをご利用ください フォーラム型の無料掲示板は@bbをご利用ください お絵かき掲示板は@paintをご利用ください その他の無料掲示板は@bbsをご利用ください 無料ソーシャルプロフィールサービス @flabo(アットフラボ) おすすめ機能 気になるニュースをチェック 関連するブログ一覧を表示 その他にもいろいろな機能満載!! @wikiプラグイン @wiki便利ツール @wiki構文 @wikiプラグイン一覧 まとめサイト作成支援ツール バグ・不具合を見つけたら? 要望がある場合は? お手数ですが、メールでお問い合わせください。
https://w.atwiki.jp/dmori/pages/59.html
(タイトル)概要 動作確認 テストコードパタン1 ソースコードファイル1 ファイル2 (タイトル) 概要 (詳細記述予定) 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 未確認 Cver 未確認 テストコード (概要を記述予定) (実行方法を記述予定) パタン1 (パタン内容を記述予定) initial begin //verilogテストパタン1 end (実行結果1) ソースコード ファイル1 (verilogソースコード1) (概要を記述予定) ファイル2 (verilogソースコード2) (概要を記述予定) 列挙型を定義します。 typedef {RED,GREEN,BLUE} COLOR; 列挙した名前だけでループさせたい場合。 COLOR ch; ch=ch.first; repeat(ch.num)begin $display("ch=%d(%s)",ch,ch.name); ch=ch.next; end 結果。 ch= 0(RED) ch= 1(GREEN) ch= 2(BLUE) foreverとbreakやdo-while等を使ってもいいんですが、foreverによる無限ループが怖いのでrepeatを使ってみました。 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/alohajapan/pages/11.html
コメントプラグイン @wikiのwikiモードでは #comment() と入力することでコメントフォームを簡単に作成することができます。 詳しくはこちらをご覧ください。 =>http //atwiki.jp/guide/17_60_ja.html たとえば、#comment() と入力すると以下のように表示されます。 名前 コメント
https://w.atwiki.jp/aloha-yoga/pages/5.html
まとめサイト作成支援ツールについて @wikiにはまとめサイト作成を支援するツールがあります。 また、 #matome_list と入力することで、注目の掲示板が一覧表示されます。 利用例)#matome_listと入力すると下記のように表示されます #matome_list
https://w.atwiki.jp/aloha-yoga/pages/2.html
メニュー トップページ プラグイン紹介 まとめサイト作成支援ツール メニュー メニュー2 リンク @wiki @wikiご利用ガイド 他のサービス 無料ホームページ作成 無料ブログ作成 2ch型掲示板レンタル 無料掲示板レンタル お絵かきレンタル 無料ソーシャルプロフ ここを編集
https://w.atwiki.jp/medalofhonor/pages/358.html
MOH銃器図鑑 - 小銃 Modを含むMOHシリーズに登場した小銃を掲載しています。 突撃銃、狙撃銃はそれぞれのカテゴリーで扱っています。 太字になっている名前はクリックすると解説サイト(WikipediaまたはMEDIAGUN DATABASE)に飛びます。 MOH銃器図鑑 - 小銃 アメリカ イギリス イタリア オーストリア スペイン チェコスロバキア(現チェコ、スロバキア) ドイツ 日本 フランス ロシア / ソビエト連邦 コメント アメリカ ウィンチェスター M1カービン 登場作品: Hell in the Pacific(MOHAA Mod) メダル オブ オナー アライドアサルト(武器Mod) メダル オブ オナー パシフィックアサルト スプリングフィールド M1861 登場作品: Medal of Honor The Civil War(MOHAA Mod) スプリングフィールド M1903 登場作品: メダル オブ オナー アライドアサルト(リロード、リロードセカンド) メダル オブ オナー 史上最大の作戦 メダル オブ オナー ライジングサン メダル オブ オナー パシフィックアサルト メダル オブ オナー エアボーン 画像はM1903A4。 スプリングフィールド M1ガーランド 登場作品: Medal of Honor メダル オブ オナー アライドアサルト(リロード、リロードセカンド) メダル オブ オナー 史上最大の作戦 メダル オブ オナー ライジングサン メダル オブ オナー アドバンス メダル オブ オナー パシフィックアサルト メダル オブ オナー ヨーロッパ強襲 メダル オブ オナー ヒーローズ メダル オブ オナー ヴァンガード メダル オブ オナー エアボーン メダル オブ オナー ヒーローズ2 シャープス M1859 登場作品: Medal of Honor The Civil War(MOHAA Mod) ジョンソン M1941 登場作品: メダル オブ オナー アライドアサルト(武器Mod) レミントン M700 登場作品: Modern Warfare(MOHAA Mod) イギリス ウィットワースライフル 登場作品: Medal of Honor The Civil War(MOHAA Mod) エンフィールド・パターン 1853 登場作品: Medal of Honor The Civil War(MOHAA Mod) パターン 1914 登場作品: Medal of Honor World War 1(MOHAA Mod) リー・エンフィールド SMLE 登場作品: Medal of Honor World War 1(MOHAA Mod) メダル オブ オナー ヨーロッパ強襲 メダル オブ オナー ヒーローズ メダル オブ オナー ヒーローズ2 リー・エンフィールド No.4 登場作品: メダル オブ オナー アライドアサルト リロード メダル オブ オナー アライドアサルト リロードセカンド 画像はNo.4 Mk I。 イタリア カルカノ M1938 登場作品: メダル オブ オナー アライドアサルト リロードセカンド オーストリア ステアー スカウト 登場作品: City Nights(MOHAA Mod) City Nights Final(MOHAA Mod) スペイン マウザー・オビエド 1916 登場作品: 1936 España en llamas(MOHAABT Mod) スペイン製?ドイツ製? とりあえずスペイン欄に。 チェコスロバキア(現チェコ、スロバキア) チェコスロバキア国営銃器工廠 vz.24 登場作品: 1936 España en llamas(MOHAABT Mod) ドイツ マウザー 1893 登場作品: 1936 España en llamas(MOHAABT Mod) マウザー ゲヴェーア98 登場作品: Medal of Honor The Great War(MOHAA Mod) Medal of Honor World War 1(MOHAA Mod) マウザー カラビナー98k 登場作品: Medal of Honor Medal of Honor Underground メダル オブ オナー アライドアサルト(リロード、リロードセカンド) メダル オブ オナー 史上最大の作戦 メダル オブ オナー アドバンス メダル オブ オナー ヨーロッパ強襲 メダル オブ オナー ヒーローズ メダル オブ オナー ヴァンガード メダル オブ オナー エアボーン メダル オブ オナー ヒーローズ2 ラインメタル FG42 登場作品: Total Assault(MOHAA Mod) メダル オブ オナー アライドアサルト リロード ワルサー ゲヴェーア43 登場作品: メダル オブ オナー アライドアサルト リロード メダル オブ オナー アライドアサルト リロードセカンド メダル オブ オナー 史上最大の作戦 メダル オブ オナー ヨーロッパ強襲 メダル オブ オナー ヒーローズ メダル オブ オナー ヴァンガード メダル オブ オナー エアボーン メダル オブ オナー ヒーローズ2 日本 東京砲兵工廠 三八式歩兵銃 登場作品: 1936 España en llamas(MOHAABT Mod) メダル オブ オナー ライジングサン メダル オブ オナー パシフィックアサルト 東京砲兵工廠 九九式短小銃 登場作品: Hell in the Pacific(MOHAA Mod) 東京砲兵工廠 四四式騎銃 登場作品: メダル オブ オナー パシフィックアサルト フランス ルベル Mle 1886 登場作品: Medal of Honor The Great War(MOHAA Mod) ロシア / ソビエト連邦 トカレフ SVT-40 登場作品: メダル オブ オナー アライドアサルト リロード メダル オブ オナー アライドアサルト リロードセカンド シモノフ SKS 45 登場作品: Medal of Honor Vietnam(MOHAA Mod) モシン・ナガン M1891 登場作品: メダル オブ オナー アライドアサルト リロード メダル オブ オナー アライドアサルト リロードセカンド メダル オブ オナー ヨーロッパ強襲 画像はM1891/30。 コメント スパム対策につき閉鎖中
https://w.atwiki.jp/dominion/pages/15.html
Icarus Verilogのインストール方法について解説しています。 Icarus Verilogのインストール方法 Icarus Verilogのインストール確認方法 Icarus Verilogのインストール方法 1. このページにアクセスして、Windows版Icarus Verilogをダウンロードします。 2008年10月14日時点の最新安定版は0.8.6です。 2. ダウンロードしたiverilog-X.Y.Z_setup.exeを実行します。 下図のような画面が表示されるので、Nextをクリックします。 3. ライセンスについて表示されます。 I accept the agreementを選択し、Nextをクリックします。 4. Icarus Verilogをインストールするディレクトリについて聞かれます。 デフォルトはC \Program Files以下のディレクトリ名になっていますが、ディレクトリ名に空白が入っていると後でトラブルになる可能性が高いです。 C \icarusなどのように、空白を含まないディレクトリ名に変更しておくことを強く推奨します。 5. スタートメニューへの登録名について聞かれます。 Nextをクリックします。 6. デスクトップにIcarus Verilog用のアイコンを作るか聞かれます。 作りたくない場合は、チェックをはずしてください。 Nextをクリックします。 7. インストールの設定について表示されます。 問題がないか確認して、Installをクリックします。 8. インストールが終了すると、下記のように表示されます。 Icarus Verilogの実行ディレクトリを環境変数PATHに追加しておくと便利なので、チェックはしたままにしておいてください。 Finishをクリックして、インストールを終了します。 Icarus Verilogのインストール確認方法 1. コマンドプロンプトを立ち上げ、Icarus Verilogをインストールしたディレクトリに移動します。 2. コマンドプロンプトで、下記のように入力してください。 hello.vlというテストベンチをコンパイルし、helloという実行ファイルを作成します。 C \icarus iverilog -o hello hello.vl 3. コマンドプロンプトで、下記のように入力してください。 C \icarus vvp hello 下記のようにHello, Worldと表示されればOKです。
https://w.atwiki.jp/wiki8_infinity/pages/92.html
プリーストスキル考察 未実装ですが、考えてみたいと思います。 プリに転職したら、☆と○は取ってみたいスキルです。 使い勝手は当然ながら、まだ分かりませんw ※5迄のスキルは本当は6までありますが、石で強化の為P使用しないので、5と書いてあります。 スキル名 評 MaxLv Memo グループメンタルブロウ ○ 5 PT全員精神があがるのであった方がいいと思います グループインスタントヒール ○ 5 ディレイ長いですがタゲ指定しないで即発動しそうなので便利そうです ネメシス 5 知能攻撃。ディレイ1分だと連続使用はできませんね^^; ディスペル 1 結界解除 マリアスグレイス ○ 5 持続型。PT全員体力あげるのでよさげ マリアスマインド 5 精神攻撃。ディレイ1分って。。 マリアスバトル ○ 5 持続型。PT全員の抵抗値UP マインドエアー ☆ 5 マインドブラストの複数版。モンハウした時よさげ バキューム 5 Pv用?30秒一般攻撃不可にする ブルーファウンテン 5 MP増加。個人かけスキル ソウルメディテーション 1 次のスキルMP無しで使えるぽいが。。? スタッフマスタリー 5 スタッフダメージ増加。時間スキル オブリゲーション 5 1名に全PT員がもらうダメージ 10当たりMP5を消耗するマナシールドを付与 オールマイティ ☆ 5 30分ステ増加。ぜひ欲しい イレイズ 1 かかってるスキルを1つランダムに消す インカーネーション ○ 5 復活スキル。PTやるなら必須かと。 パーフェクトヒーリング ○ 1 再使用ディレイ長いですが、100%回復なのであったほうがいいと思う ☆…かなり便利そう ◎…必須ぽぃ ○…あれば便利だと思う △…使いづらそう ×…微妙?