約 1,344,769 件
https://w.atwiki.jp/javadsge/pages/6492.html
(1)動作確認 (2)リンク (3)基礎 (4)基礎 (5)基礎 -
https://w.atwiki.jp/hdlwiki/pages/392.html
METAL GEAR SOLID 3 SUBSISTENCE(初回生産版) 【メーカー】コナミ 【発売日】2005/12/22 動作報告 HDA3.0 SCPH-30000 Maxtor 6L200PO WinHIIP173 Disc1,2,3共に起動確認。オンラインは未確認 HDA3.0 SCPH-50000 純正 PS2本体でインスト オンラインモードは設定を変更してもできませんでした。速度は向上します。 HDL0.7c SCPH-50000(V10) 純正 無使用 すべてのDISCの動作確認。オンラインは不可 商品の説明
https://w.atwiki.jp/ets2wiki/
Euro Truck Simulator 2 wikiへようこそ SCS softwareから発売されているEuro Truck Simulator 2(通称ETS2)のwikiです。 wikiお知らせ 一部ページ除き、誰でも編集可能です 一部、管理人の独断で加筆修正いたしますがご了承ください。 ココ早く編集しろ!などご意見ご質問はets2wiki*gmail.comまでお願いします(*を@に変えてください)。 公式情報 2015/6/14以降の情報を掲載します。 それ以前の情報はこちらをご覧ください。 2015/7/23 1.19を公開 詳細はこちら Euro Truck Simulator 2 公式サイト SCS公式Facebook SCS公式blog コメント 企業リストも作るつもりですが、あいにく多忙の為しばらくかかりそうです。動作確認の情報提供ありがとうございました。 - 2015-08-02 10 03 29 メニューのトレーラーは貨物側を指す言葉ですが、トラクターの間違いでは? ttp //lance3.net/chigai/z0443.html - 2015-08-06 19 54 40 訂正いたしました。ご指摘ありがとうございます。 - 2015-08-13 13 51 52 patch 1.20 open beta来ました。GPSルートのカスタム、その他。詳細はSCSブログまで。 - 2015-08-26 05 04 32 patch 1.21.1頒布来ています。 - 2015-10-09 15 40 52 1.19.2s Windows10 Home 64bitで動作確認済み - 2015-10-17 19 43 20 1.21.1s Windows10 Home 64bitで動作確認済み。 - 2015-11-04 19 40 22 ETCのサウンドってどうやって入れれば良いのか?誰か教えてください - 2016-01-16 20 50 17 ttps //m.youtube.com/watch?v=CDW0_mYGoPM - 2016-10-09 22 52 15 雇って働かせるために参考にしようと着たら削除されてた!勘弁して~ - 2016-09-21 22 45 48 1.19.2.6sWindows10 pro 64bitで動作確認済み。 - 2016-10-11 22 37 41 Editor が開けるけどすぐ閉じてETS2が強制終了されるんですよね・・・ 誰か対処法知ってたら教えてくれれば 幸いに思います - 2016-10-22 22 03 39 質問は下のコメント欄にてお願いします。コメントは最新10件を表示しています。log 質問 ハンドルコントローラーのG27で高速時にハンドルが軽くてフラフラしています 実車ではハンドルを回して進みたい方向に進むとハンドルを離すとハンドルが元に戻すのですがそれをG27でもやりたいですエンスト起こさせた - 2016-10-09 22 17 03 ETS2は正常に動きゲームが可能なのですが、船で移動したり、ポーズメニューからディーラーに訪問したり、ガレージに瞬間移動などするとフリーズしてそのまま動かなくなります。何が原因ですか? ちなみにPCはMSIのゲーミングノートPC15.6インチ windows10 GTX1060 - 2016-12-14 18 00 13 私はMPだと同様で、上記かつデリバリー中止にしてもETS2がタスクマネージャーから「応答なし」になります。陸路で北欧からフランスは遠かった・・・。steamから整合性のチェックをしても変化ありません。マイッタ・・ - 2016-12-28 18 58 22 別PCにてインストールし、セーブデータを移行すると再現されたので、セーブデータ異常みたいです。最初からやり直した、別セーブデータだと起こりません。走破記録が~(TT - 2017-01-04 16 19 17 自分のトラックでトレーラーとカップリングしたいのですが、トレーラーの接続バー(?)の高さがトラック側と明らかに違っており、接続できません。どのようにすればよいのでしょうか。 - 2017-07-02 19 28 09 ちなみに、今はいちいちアシスタンスサービスを読んでつないでもらっています。 - 2017-07-02 19 29 18 燃料切れになって動けなうなったのだが、どうすれば動けるようになりますか? - 2017-09-17 22 59 15 燃料切れになって動けなうなったのだが、どうすれば動けるようになりますか? - 2017-09-17 22 59 35 こうつう氏の作ったAIセレガをBlenderで自分が乗れるようにするにはどうすればいいですか (2018-04-14 00 56 37) v1.30まで動作するが、それ以降のバージョンアップはフリーズします (2019-01-10 12 14 56) opentrackを利用したら車内視点で視点移動ができなくなってしまいました、どうすれば治りますか? (2020-06-11 14 38 43) 日本のラジオを聞くMODを教えてください (2020-07-05 12 46 19) 日本のラジオはMODでは聴くことができません。記述の書き換えが必要です。Euro Truck Simulator 2\live_streams.sii (2020-08-25 20 49 17) 質問以外は上のコメント欄にてお願いします。コメントは最新10件を表示しています。log
https://w.atwiki.jp/dmori/pages/94.html
(join_any,join_noneの注意点)概要 動作確認 テストコードパタン1 (join_any,join_noneの注意点) 概要 join_any、join_noneは検証シナリオを記述する場合に大変便利です。 ですが、実はメモリリークにつながる可能性があるため、注意が必要です。 メモリリークは小規模であれば問題になりませんが、長時間や大規模になってくるとシミュレータがメモリ不足で落ちる形になります。 これは、発生してからの追跡が難しくなりがちなので、事前に意識して押さえておくことが重要だと思います。 動作確認 ツール バージョン 結果 NC-Verilog 未確認 VCS-MX 未確認 ModelSim 6.6d(ModelSimAE 11.0sp1) OK Cver 未確認 テストコード A,Bいずれかの立ち上がりを検出したら次のステップに進むというコードです。 パタン1 join_anyを抜けた後、doneフラグを1にすることで他方のブロックも抜けれるようにします。 doneフラグを使用しなかった場合、@(posedge ~)の他方のブロックは条件を満たすまでメモリ内に残り続けてしまいます。 module tp; bit A=0,B=0; initial begin #100; A = 1; #100; B = 1; #100; $display($time,"end sim"); $finish; end initial begin bit done = 0; fork begin @(posedge A or done); if(done) $display($time," A done"); else $display($time," posedge A"); end begin @(posedge B or done); if(done) $display($time," B done"); else $display($time," posedge B"); end join_any $display($time," join_any"); done = 1; end endmodule 実行結果を以下に示します。 vlog -sv test.sv Model Technology ModelSim ALTERA vlog 6.6d Compiler 2010.11 Nov 2 2010 Compiling module tp Top level modules tp vsim tp Reading C /altera/11.0sp1/modelsim_ase/tcl/vsim/pref.tcl # 6.6d # vsim tp # Loading sv_std.std # Loading work.tp run -all # 100 posedge A # 100 join_any # 100 B done # 300end sim # ** Note $finish test.sv(11) # Time 300 ps Iteration 0 Instance /tp 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/fifa-pc/pages/75.html
2015/9/8にPC版のデモがリリースされるようです。 PC版はいつものとおりOriginのストアからダウンロード及びインストール出来ると思います。 PCスペックに不安がある場合は購入前にデモで動作確認をお勧めします。 https //www.easports.com/fifa/news/2015/fifa-16-demo
https://w.atwiki.jp/c-note/pages/77.html
PT1/PT2の受信確認 PT1/PT2が正常に動作するか確認を行います。 PT1/PT2の受信確認1.受信の確認(1) 2.受信の確認(2) 1.受信の確認(1) PT-Windows-Sample-200.zipを右クリックメニューから「すべて展開」をクリックし、解凍します。 解凍した「PT-Windows-Sample」フォルダを辿り「Sample.exe」をダブルクリックして実行します。 例) C \PTx\PT-Windows-Sample-200\PT-Windows-Sample\Output\Release\x64\Sample.exe ここからは、キーボードでの操作になります。 実行されたら、まず「0」を押します。メニューが表示されるので「1」(チャンネルスキャン)を押します。 チューナー番号を選択します。受信可能なはずの方の番号を押して下さい。ここでは「0」とします。 受信可能なはずの信号を選択します。ISDB-Tが地上波、TSDB-Sが衛星波です。ここでは「1」とします。スキャンが実行されます。 正常に受信できれば、下の画像のような表示がされると思います。 2.受信の確認(2) チャンネルスキャンで受信されているらしいことが確認できたら、キャプチャできるか確認を行います。 「2」(チャンネル設定)を押します。 チューナー番号を選択します。受信可能なはずの方の番号を押して下さい。ここでは「0」とします。 受信可能なはずの信号を選択します。ここでは「1」とします。スキャンが実行されます。 先程のチャンネルスキャンで受信できていそうだったチャンネル番号を入力し、Enterキーを押します。ここでは「63」とします。((T 63)とかいう形式で表示されていた方の番号) つづけて、「5」(キャプチャ開始)を押します。 ここで、Sample.exeのあるフォルダを見ると、「Tuner0 ISDB-T.ts」などのファイルが作成されていると思います。 F5キーを押しながらフォルダの内容を更新していくと、徐々にファイルサイズが増えていくのがわかるはずです。 下の画像は、キャプチャしたファイルが作成された例です。 順調に増えていそうでしたら、コマンドプロンプトにもどって、「5」( キャプチャ停止)を押します。 「8」(デバイスを閉じる)を押します。 「1」(終了)を押します。 続行するには何かキーを押してください... では、何でも良いのでキーを押すと終了します。 以上で動作確認は完了です。
https://w.atwiki.jp/wiihack/pages/65.html
Vervatim(三菱化学メディア) HDPU160GVS ポータブルHDD 160GB 動作、バスパワー共に可 -- (名無しさん) 2009-04-12 01 12 41 BUFFALO HD-PSG320U2-WH ポータブルHDD 160GB 動作あらゆる手段を講じるも× -- (名無しさん) 2009-04-12 04 01 06 東芝2.5インチHDD MK4025GAS ATA100 (40G 9.5mm) century製Speedzter Little CSL25U2 で起動確認。たまに音飛びやフリーズもあった。 -- (名無しさん) 2009-04-12 10 55 30 BUFFALO HD-PF250U2-WH 250GB-2.5inch 特に問題なく、USB-LOADERでOK。 WBFS Manager 2.2.2でWindowsXPで管理OK。 ゲーム起動もほぼOK。 (中には動かないものがあった) -- (みっちゃん) 2009-04-13 22 29 17 I・O DATA HDCN-U320 WBFS Manager2.2.2でフォーマット&インストールOK 1.1s mod1.02ほぼ安定動作 (ローダー起動時にHDD停止、エラー-6再起動で認識) 1.4s mod0.2ほぼ安定動作 (ローダー起動時にHDD停止、エラー-6再起動で認識) 1.1 LoPsT edition抜群の認識 やっぱり電源有りは安定してるな。 -- (名無しさん) 2009-04-17 00 06 04 いろいろな2.5インチHDをポータブル用のケースに入れて。 安物のケースは動作不可。 USBケーブル、またはケースを高価な物にすれば動く物もある。 全般的に不安定なことが多かった。 いろいろな2.5インチHDをIDE-USB変換ケーブルで接続。 どのHDも動作安定。 ただむき出しなのが… 水牛製2.5インチIDEのSSDはHDケースに入れても、 IDE-USB変換ケーブルで接続しても動作不可。 -- (適当) 2009-05-07 12 43 51 LHD-ED1000U2Lプレイに関しては特に問題なし ただ、しばらくアクセスが無いとスタンバイ的なものに なるのはなんとかならんのだろうか -- (名無しさん) 2009-05-12 08 32 17 玄人志向のGW3.5AA-PU2/MBで快適作動。 HDDはかなり古いバラクーダの40GB Wii起動前から刺してると認識しないことがある。 -- (Morisova) 2009-05-16 22 19 25 BUFFALO HD-PF320U2-WH + vista USB Loaderからのフォーマット、WBFS GUIからのインストールで動作良好 PCからのフォーマットができなかった(deltaやら読み込み不可やら) USB Loaderからのインストールができなかった(途中で止まる) PCからのインストールはWBFS GUIの挙動がおかしい気がするがインストールはできる -- (名無しさん) 2009-05-17 05 21 56 ttp //groovy.ne.jp/products/hddcase/sata2_case35.html ↑のケースとWD5000AACSで快適動作。 ケースは99で1980円だしお勧め。多分HDDはSATAなら何でも動くと思う。 -- (名無しさん) 2009-05-22 00 20 31 pqiのSSD S525 動作可 -- (redfox) 2009-05-23 10 36 49 KINGMAXとトランドセンドの系のUSBメモリーはほぼ全滅。 このメーカーの違う型番のものなどを試したけど、 全部認識ぜず。 ret=-6エラーになります。 -- (名無しさん) 2009-05-24 20 43 43 microSDサンディスクはプレイできてるよ -- (名無しさん) 2009-05-24 21 04 03 ちょっと変り種で。。 GREEN HOUSE GH-CRSDHC + Transcend TS8GSDHC6 (USB SDHCカードリーダとSDHCカード組み合わせ) USB Loaderからのフォーマット、WBFS GUIからのインストールで動作確認。 uLoader v1.8 でも動作確認できた。 同型番のSDHCカードが手持ちで複数あり、認識されないものもあった。 -- (名無しさん) 2009-05-27 00 08 56 seagate sata300GをHDDケース MAL-0635/SAに入れて使用。 動作には問題ないものの、若干のスパークノイズが発生。 ノイズ対策用のシールド使用USBケーブルを使うがあまり改善せず。 上で上がっているHDDケース SATA2-CASE3.5を使用したところ ノイズも全て消え、今のところ動作も問題なしです。 -- (名無しさん) 2009-05-30 18 53 40 Transcend 2.5in ポータブルHDD 160GB(StoreJet 2.5 SATA 160G) 起動時から常にUSB接続(Y字USBの両方を接続)でISO一覧の読み込み確立 約20%。スピード・ノイズは特になし。 WBFS Maneger(日本語化済)でフォーマット・ISO追加 カバー取得も問題なし。 -- (名無しさん) 2009-06-07 00 50 47 Travelstar 5K500.B HTS545050B9A300をHDDケースSATA2-CASE2.5に入れて使用。 現在のところ、問題なしです。音も静かです。 pertisionはWBSFで380G、FAT32で95Gです。 -- (名無しさん) 2009-06-09 11 44 58 上海問屋×A-DATAコラボモデル320GB 指しっぱなしでも初期は認識しやすかったが、ソフトを増やすにつれ認識率低下。 Loader起動後USBを選択前に差し直せば確実に認識。 -- (名無しさん) 2009-06-19 23 50 26 I・O DATA HDPS-U160 使用初期は異常は無かったが、徐々に認識不良率が高くなり 1ヶ月もしない内に認識しなくなる。 (極稀に認識するも実用レベルではない) -- (名無しさん) 2009-06-28 22 07 12 I・O DATA HDPS-U160 上と同様の現象。最初だけ認識。 もはや使用にたえない。 -- (名無しさん) 2009-07-17 16 48 24 BUFFALO HD-CELU2 普通に認識OK 読み込みは普通に快適な速度 LANモードのボタンを押すと、読み込みが止まるから、そこだけ注意するべき USBはずっと挿しっぱなしでもOK -- (NoName) 2009-07-24 01 53 05 HDPSK-U320 最初は問題なく認識するが、発熱によりHDDを認識しなくなる。ただし、発熱中もフリーズすることなくゲーム可。 ゲームの切り替えは、保冷剤で認識率を上げることができるが、実用とは言えない。 -- (名無しさん) 2009-08-25 22 51 28 cIOS38_rev14を入れたらHDD認識率が30%くらいから100%になりました! -- (名無しさん) 2009-09-17 21 31 25
https://w.atwiki.jp/au07natsu/pages/16.html
外部メモリ対応状況(KDDI公式ページ) 価格.com - microSD 512MB / 1GB / 2GB W53SA W52CA W53CA W52S W52P W54T W52H W52SH W53T W52SA W53S W44K II A-DATA512MB A-DATA1GB A-DATA2GB アドテック512MB ◎ アドテック1GB アドテック2GB Always512MB Always1GB Always2GB バッファロー512MB ◎ バッファロー1GB ◎ バッファロー2GB ◎ CFD512MB CFD1GB CFD2GB EVERGREEN512MB EVERGREEN1GB EVERGREEN2GB ELECOM512MB ELECOM1GB ELECOM2GB Greenhouse512MB Greenhouse1GB Greenhouse2GB HAGIWARA512MB HAGIWARA1GB HAGIWARA2GB I/O DATA512MB I/O DATA1GB I/O DATA2GB Kingston512MB Kingston1GB Kingston2GB 松下(パナソニック)512MB ◎ 松下(パナソニック)1GB ◎ 松下(パナソニック)2GB pqi512MB pqi1GB pqi2GB SanDisk512MB ◎ SanDisk1GB ◎ SanDisk2GB ◎ 東芝512MB ◎ 東芝1GB ◎ 東芝2GB Transcend512MB Transcend1GB Transcend2GB TwinMOS512MB TwinMOS1GB TwinMOS2GB UMAX512MB UMAX1GB UMAX2GB ◎→公式ページにて動作確認 ○→動作確認報告あり ×動作しない報告あり 空欄→未確認or未発売 アルファベット順 動作報告用BBS 名前 コメント すべてのコメントを見る
https://w.atwiki.jp/bonsaicraft/pages/20.html
4/05 鯖アプデ 09 50に終了 しました。 4/05 鯖アプデ 09 45に開始 します。 4/04 鯖アプデ 14 35に終了 しました。 4/04 鯖アプデ 14 10に開始 します。 4/04 鯖メンテ 11 00に開始 本鯖アップデート前に伴いテスト鯖での動作確認を行っています。データは、残りませんが 皆さんにも入ってもらって 動作確認をしてもらえると助かります。入り方は、いつものIP+25566では入れます。 3/06 鯖メンテ 01 30に終了 鯖に入れない問題 解決 日本語対応出来ました 3/06 鯖メンテ 01 20に開始 鯖に入れない問題 解決のため 日本語対応 2/24 鯖アプデ 15 19 MyhomeとMywarpを導入しました。これによりwarpできるようになりました。 2/24 鯖アプデ 14 30 MyhomeとMywarpが使えるのを確認しましたので導入します。 2/24 鯖アプデ 12 38 LWC導入完了につき、鯖アップデートしました。 2/24 鯖アプデ 12 00 LWCが使えるようなのでアップデート開始します。安全が確認され次第 公開したいと思います。 2/24 鯖アプデ bukkitがアップデートされましたが、プラグインの方がまだなのでLWCの方が更新され次第アップデートとなります。 2/23 鯖アプデ bukkitがアップデートされ次第、minecraft鯖アップデート 2/22 鯖メンテ 15 35に終了 2/22 鯖メンテ 15 00に開始します。内容は、PC再起 データの転送 2/18 鯖メンテ 08 35に終了 ラグ ましになりました。
https://w.atwiki.jp/kingjr3377/pages/13.html
YouTube Pluginの動作確認 -ディスコソング系 ぼにーM