約 1,344,906 件
https://w.atwiki.jp/emups2/pages/100.html
PS2ソフト動作報告 - あ _summer## アンダーバーサマーダブルシャープ(動作状況 ○) Adventure of TOKYO DisneySEA ~失われた宝石の秘密(動作状況 〇) Another Century's Episode(動作状況 ○) Another Century's Episode2 SV版(動作状況 ○) Another Century's Episode3(動作状況 ○) ANUBIS ZONE OF ENDERS(動作状況 ○) AQUAQUA(動作状況 〇) ARCANA HEART(動作状況 ◎) ARIA The ORIGINATION 〜蒼い惑星のエルシエロ〜(動作状況 ◎) ARIA The NATURAL 〜遠い記憶のミラージュ〜(動作状況 ◎) ASTRO BOY 鉄腕アトム(動作状況 〇) I/O(動作状況 〇) I.Q REMIX+ -intelligent qube-(動作状況 〇) OutRun2 SP(動作状況 ○) R RACING EVOLUTION(動作状況 ○) R-TYPE FINAL(ス)(動作状況 ◎) RPGツクール5(動作状況 ○) アークザラッド 精霊の黄昏(動作状況 ○) アークザラッド ジェネレーション(動作状況 ○) アーバンレイン(動作状況 ○) アーマード・コア ナインブレイカー(動作状況 ○) アーマード・コア ネクサス(動作状況 ○) アーマード・コア ラストレイヴン(ス)(動作状況 ○) アーマード・コア ラストレイヴン(動作状況 ○) アーマード・コア2 (動作状況 ○) アーマード・コア2 アナザーエイジ (動作状況 ○) アーマード・コア3(動作状況 ○) アーマード・コア3 サイレントライン(動作状況 ◎) あいかぎ~ぬくもりとひだまりの中で~(動作状況 ○) アイシールド21 アメフトやろうぜ!Ya-!Ha-!(動作状況 〇) アイズピュア(動作状況 ◎) アイドル雀士R 雀ぐる★プロジェクト(動作状況 ◎) アイドル雀士スーチーパイIV (動作状況 ◎) アウトモデリスタ U.S.tuned(動作状況 ○) アオイシロ (動作状況 ○) アカイイト (動作状況 ○) アカギ 闇に降り立った天才(動作状況 〇) あかね色に染まる坂ぱられる(動作状況:○) あしたのジョー~まっ白に燃え尽きろ!~(動作状況 〇) あずみ(動作状況:○) アトリエ マリー+エリー ~ザールブルグの錬金術師 1・2~(動作状況:○) アニメバトル 烈火の炎(動作状況 ○) アマガミ(動作状況 ◎) アメリカ横断ウルトラクイズ(動作状況 〇) あやかしびと -幻妖異聞録-(動作状況 ○) あやかし幻灯話 妖鬼姫伝(動作状況 ○) アルトネリコ 世界の終わりで詩い続ける少女(動作状況 ○) アルトネリコ2 世界に響く少女たちの創造詩(動作状況 ○) アルペンレーサー3(動作状況 ○) アンリミテッド・サガ(動作状況 ○) 藍より青し(動作状況 ◎) 蒼い海のトリスティア(動作状況 ○) 蒼い空のネオスフィア(動作状況 ○) 赤川次郎 月の光 ~沈める鐘の殺人~(動作状況 〇) 悪代官 (動作状況 ◎) 悪代官2 ~妄想伝~(動作状況:○) 暴れん坊プリンセス (動作状況 ○) 雨格子の館(ス)(動作状況 ○) 雨格子の館(動作状況:◎) _summer## アンダーバーサマーダブルシャープ(動作状況 ○) pcsx2 0.9.9.4738 GSdx4751 0.1.16 SPU2-X r4751 1.5.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 小奈美END確認/ゲーム中の6/21終了まではメッセージ読んでいましたが、以降はメッセージスキップを駆使しています^^; 動作確認中、何度か画面が薄暗くなり、そのまま応答しなくなる事象が発生(画面切り替わりのタイミング) 直前のセーブデータをロードして再度進めると、同じ場面ではフリーズしなかった こまめにセーブしたほうがよさげ 基本60fps動作希に低下する(低下しても55fps以上で動作)低下したときは若干スローモー ■備考 ID SLPM66460 CRC 0x441EFC53 投稿:2011/6/27 PCSX2 1.7.2300 vulkan iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 千輪END確認(メッセージスキップ駆使) D3D11はNativeでもキャラ絵が半透明になる openGL・software・vulkanは正常 フリーズは発生せず 選択肢の時間経過バーが表示されない場合アリ(解決法は不明) fpsの低下は見受けられず 3Xnativeで60fps動作 エンディングに到達できたので評価は据え置き ■備考 2022/2/5 Adventure of TOKYO DisneySEA ~失われた宝石の秘密(動作状況 〇) PCSX2 1.7.5558 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 アースラと海中を移動できるように契約するところまで動作確認 解像度を上げるとテキスト・体力メータに切れ目が入る 切れ目気にせず3Xnativeで常時60fps ■備考 2024/2/17 Another Century's Episode(動作状況 ○) pcsx2-v1.5.0-dev-2962 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-18000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS エンディングまでチェック。プレイに支障の出る問題はない。 一部ステージの空と海のテクスチャが若干怪しいが実機未確認。SWでも同じなのと大して気にならないので。 ■備考 投稿 2019/02/20 Another Century's Episode2 SV版(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeで60FPS。DirectXだと機体表示が若干おかしい 現状宇宙ステージのテクスチャがおかしいがプレイに支障はない。SWでミッション開始後HWに切り替えれば大丈夫のようだ エンディングまでチェック。細かな描画不具合があるが設定を詰めていないので直るかは未チェック。 ■備考 投稿 2021/07/02 PCSX2 0.95 svn372 GSdx 0.1.8 svn758 P.E.Op.S 1.9.0 SCPH-50000JP(JP1.70) C2D E4400 2Ghz@3Ghz DDR2-800 2GB Geforce8800GT 512MB XP Pro SP2 ■動作速度・不具合の有無 クリア確認 D3Dres1024x1024で基本的には60で動作可能不具合的にはACE3同様の物が多い 一部描画負荷の高い場面 イベント ムービーで30-40程度までダウン(スペック次第?) 地面の一部でテクスチャ崩れ 音声発生中にスタート押しで画面を切り替えた後、再度ゲーム画面に戻ると音声では無く「ピー ガー」等の異音になるまた、この場合進行不可になる場合もあるが、ACE3同様繰り返すと持ち直して進行する場合もある イベント画面全般で、キャラの模写が全て灰色になる(一部の色はあったりする・・・) ■備考 SPUはInterpolation=2 Gaussian~をお勧め(Threadは入れない) ZeroSPUだと進行付加バグ発生 画面がぶれるようならF5押してInterlaceを変更 再現度としてはACE3の方が高い Another Century's Episode3(動作状況 ○) PCSX2 0.95 svn372 GSdx 0.1.8 svn758 P.E.Op.S 1.9.0 SCPH-50000JP(JP1.70) C2D E4400 2Ghz@3Ghz DDR2-800 2GB Geforce8800GT 512MB XP Pro SP2 ■動作速度・不具合の有無 両ルートクリア 基本的にはD3Dres1024x1024でムービー含めて常時60可 地面等一部テクスチャ崩れと音声の一部が若干飛ぶ場合あり イベント等、一部でfps低下発生(スペック次第?) 一部のエウレカ系のムービーで落ちる、スキップで回避可 イベント音声進行中にスタートを押して画面切り替えるとまれに進行不可バグが発生するが、逆に同様の事を繰り返すと持ち直す場合もある ■備考 SPUはInterpolation=2 Gaussian~をお勧め(Threadは入れない) ZeroSPUだと進行付加バグ発生 画面がぶれるようならF5押してInterlaceを変更 0.9.6 AdvancedOptionsでVU Recs OptionsのClamp ModeをExtraにするといい感じに。ムービーシーン突入で停止する、会話中スタートボタンでおかしくなる、などの症状が緩和 1.0.0 初期設定だと(EE pc 0034D028) TLB Miss, addr=0x0 [load]で固まるのでVU0/VU1リコンパイラ詳細設定のクランプをNormalからExtraに変更 ANUBIS ZONE OF ENDERS(動作状況 ○) PCSX2 1.10 5764 GSdx 0.1.16 5764 SPU2-X r5764 cdvdGigaherz r5764 Core i5 4570 DDR3-10600 4GB*2 GTX460 1G Windows7 pro ■動作速度・不具合の有無 hardでほぼFPS60キープ アヌビスの撃つ赤い無数の球でも50台半ばキープ。 ただし、ポリゴンアニメ(オービタルフレーム同士での会話シーン等)でかなり落ち込み、30を切ることも。雑魚敵が多いところでも一時的に落ち込む。 落ち込むシーンでは、EEは70あたりを越さないが、GSが100近くになっていたので、グラボのパワー不足かと思います ■備考 一度クラッシュしたが、MortioninJoyを使用しているせいと思われる。設定を入れ替えながらプレイしていたので。 最初のアヌビス戦あたりまでのプレイ。テイパー助けるのが面倒で。 記入日 2013/11/30 (動作状況 ×) PCSX2 0.96 svn1048 GSdx 0.1.15 svn1006 P.E.Op.S 1.9.0 SCPH-75000JP(JP2.20) C2D E8400 @4Ghz DDR2-800 4GB Geforce7900GS 256MB Vista Ult 64bit SP1 ■動作速度・不具合の有無 ハードウェアレタリング× ソフトウェアで30~40fps (動作状況 ×) PCSX2 r1736 GSdx r1736 SPU2-X r1799 SCPH-70000JP(JP2.0) PhenomII 720BE @3.4Ghz DDR2-800 8GB HD4670 512MB Windows 7 Ult 64bit ■動作速度・不具合の有無 ハードウェアレタリングで、ムービー80FPS以上、通常40FPS以下 ソフトは20FPS以下 ムービー切り替え時に暗転したまま進まなくなる。 (動作状況 △) pcsx2-legacy-r2186 GSdx r2199 SPU2-X SCPH-50000 core i5 2.6GHz TB有り DDR3 3GB Geforce GTX260 windows 7 ■動作速度・不具合の有無 ハードウェアレンダリングで、最初のボス(オービタルフーム?)まで確認 雑魚敵を殲滅するまでは、FPS60以上で安定動作する。ボス戦においては、ボスの分身が発生もしくは、誘導ミサイル乱射されるとFPS10~15まで低下し、画質がぼやける等、強度の不都合が生じる。現状での快適動作は厳しい。 (動作状況 △) PCSX2 1.4.0 GSdx 20160105132032 1.0.0 SPU2-X 20151231171306 2.0.0 SCPH-70000 Core i7-2700K 3.50GHz DDR3-1600 16GB Geforce GTX780 Win7 64bit ■動作速度・不具合の有無 ハードウェアレンダで最初のOF戦まで 操作説明で若干の描画バグを確認(バーストショットやガードのエフェクトが正常に表示されない事がある)。実戦時は未確認。 基本的に既存の報告とほぼ変わらず。雑魚戦は基本60fpsをキープするがカメラに寄られると急に落ち込む。 ボス戦も基本的に同じだがより高負荷。近寄ると10~20fpsまで落ち込む。 fpsが落ちる場面で内部解像度をNativeにしてみたが、GSが100%に張り付いたままで処理落ちは微塵も改善されなかった。 AQUAQUA(動作状況 〇) PCSX2 1.7.4737 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 Training-BasicLessonsで2面クリア 確認した範囲で問題なし 3Xnativeで常時60fps ■備考 2023/7/17 ARCANA HEART(動作状況 ◎) PCSX2 r3812m Gsdx 0.1.16 r3693 SPU2X 1.4.0 r3117 Linuz iso CDVD 0.9.0 r3065 C2D E4300 3GHz(OC) DDR2 800 2GBx2 Radeon HD4850 Windows7 ULT ■動作速度・不具合の有無 スピードハックオフでFps59.97キープ ストーリーモードのクリア確認済 ■備考 F5を押してインターレースを見やすいものに変更しましょう D3D UpScalingを1024x1024、or use Scalingを2xにすると視認性が向上します。Fps低下やゴミ表示などは、ぱっと見た限りでは有りませんでした。 ARIA The ORIGINATION 〜蒼い惑星のエルシエロ〜(動作状況 ◎) PCSX2 0.95 GSdx0.11 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 C2D E8400 3.0Ghz (定格) DDR2 800 1GBx2 GeForce9600GT WindowsXp ■動作速度・不具合の有無 OPムービー40FPS前後。それ以外実機と同じ。実機でやったことないがw ■備考 一部画像におかしな表示があったような。GTS250とかHD4870とかだと完全に実機と同じになるかもw (動作状況 ○) pcsx2-v1.5.0-dev-3310 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(SW)で60FPS HWだと立ち絵切り替え時などに黒い線が入る。 強制スキップで超序盤を試しただけ。選択肢まではチェックしていない。 ■備考 投稿 2019/11/08 ARIA The NATURAL 〜遠い記憶のミラージュ〜(動作状況 ◎) PCSX2 0.95 GSdx0.11 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 C2D E6600 2.4Ghz (定格) DDR2 800 1GBx2 GeForce8600GTS WindowsVista ■動作速度・不具合の有無 なし ■備考 なし (動作状況 ○) pcsx2-v1.5.0-dev-3310 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(SW)で60FPS HWだと一部拡大処理時などに黒い線が入る。 強制スキップで選択肢2つを試しただけ。 ■備考 投稿 2019/11/08 ASTRO BOY 鉄腕アトム(動作状況 〇) PCSX2 1.7.4477 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 最初のベイエリアでの戦闘終了まで動作確認 解像度を上げるとメッセージウインドウに切れ目が入る 上記以外は特に不具合は見当たらず 3Xnativeで常時60fps ■備考 2023/5/11 I/O(動作状況 〇) PCSX2 2.1.20 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 起動確認程度の動作確認 特に不具合は見当たらず 3Xnativeで常時60fps ■備考 2024/7/21 I.Q REMIX+ -intelligent qube-(動作状況 〇) PCSX2 1.7.0-dev-232 GSdx 1.2.0(20200912141614) SPU2-X 2.0.0 cdvdGigaherz 0.11.0 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 1面クリアの動作確認 D3D(HW)6×nativeで60fps動作 ■備考 2020/9/25 OutRun2 SP(動作状況 ○) pcsx2-v2.0.3 Vulkan ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 起動して最初の車種選択のみ横線が入る。コースをクリアしてからだと問題ない まれにコースゴール直前に左上に表示不具合が発生することあり アーケード全ルート、オリジナル1回クリアまでチェック ■備考 OpenGLのみ霧か光源処理関係?の表示不具合が出るかも 投稿 2024/09/24 R RACING EVOLUTION(動作状況 ○) pcsx2-v1.5.0-dev-2194 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。以前は車などが表示されなかったが正常表示されるようになった。 Native以外ではENABLE HW HacksにチェックしてAdvanced Settings and Hacks内のAlign Spriteにチェックしないと画面に縦線が数本入る。 ■備考 投稿:2017/09/10 R-TYPE FINAL(ス)(動作状況 ◎) PCSX2 0.94 ZeroGS KOSMOS 0.97.0 P.E.Op.S. SPU2 1.9.0 SCPH-50000NB(Japan v01.90) Core 2 Duo E6320 PC3200 512MBx2 GeForce8600GT 256MB WinXP SP2 ■動作速度・不具合の有無 実に惜しい、メニュー画面ではほぼ実機レベル ステージ中は40fps程度、処理が重い場所は20fps以下まで低下 ■備考 大きな不具合も見られないし、性能があれば普通に遊べそう PCSX2 1.0.0 GSdx 0.1.16 SPU2-X 2.0.0 SCPH-10000(Japan v01.00) i7 3930K TBあり PC12800 8GBx8 GeForceGTX660 2048MB SLi Win7 SP1 64Bit ■動作速度・不具合の有無 メニュー画面は実機レベル ステージは処理落ちが激しい3面で最も落ちて48fps、ほぼ60fps フィルタ掛けあり ■備考 PCの性能さえあれば、実機の性能不足が原因だった処理落ちが改善されて非常に快適に遊べる pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 2ステージほど確認 ■備考 投稿 2021/08/26 RPGツクール5(動作状況 ○) pcsx2 1.4.0 GSdx32-avx SPU2-X 2.0.0 cDVDGigaherz 0.9.0 Intel Core i5-2400 3.10Ghz DDR3 12GB Geforce GTX 750Ti Win10 64bit ■動作速度・不具合の有無 エフェクト処理によってはかなり重い。有名なユーザーゲーム「王の錫杖」で試したところ、30fps近くまで落ちる箇所もある エディタ、ゲームプレイには特に問題は見当たらず、再現度は高い ■備考 投稿:2016/08/03 アークザラッド 精霊の黄昏(動作状況 ○) pcsx2-v1.5.0-dev-2253 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 ■備考 投稿 2018/01/13 アークザラッド ジェネレーション(動作状況 ○) pcsx2-v1.5.0-dev-2253 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 解像度Native以外だとデモシーンで一時的に数本の黒線が入る。ムービーじゃないのと解決できそうないくつかの設定を変えてみたが変化なし。 ■備考 2018/01/14 アーバンレイン(動作状況 ○) pcsx2 0.9.9.4786 GSdx4791 0.1.16 SPU2-X r4780 2.0.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 メインモードstage8までクリア確認 画面に縦の切れ目が出来る Nativeチェックで改善 25~60fps 複数キャラが出現すると重い傾向(20台はかなりの「もっさり感」/設定で改善するかは試していません) 遊べる範囲にはあると思うので○評価 ■備考 ID SLPS25557 CRC 0x1A3112A2 投稿:2011/7/7 ミッション7クリアまでプレイ レンダラー「HARD」最大敵3人もほぼ常時60fpsで快適 投稿 2019/03/10 アーマード・コア ナインブレイカー(動作状況 ○) pcsx2-098 r4582 GSdx-4557 SPU2-X-4510 Gigaherz'cDVD Plugins0.8.0 intel core i3 540 3.07GHz DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 fps40~60 最初の方だけ確認したがゲームもムービーも特に不具合無し ステージによってFPSが低下する ■備考 全体的に少しモッサリ感もしたが、遊べる範囲 Hardware + Native アーマード・コア ネクサス(動作状況 ○) pcsx2-099 r4890 GSdx-4881 SPU2-X-4872 SCPH-30000_JPN intel core i7 950 4.21GHz DDR3 24GB GeForce GTX 460 Windows 7 64bit ■動作速度・不具合の有無 fps30~60(基本60) 水面テクスチャが短冊禿、プレイに支障無し 一部ステージによってFPSが30~43へ低下(植物プラント施設の熱エフェクトエリア等) 一部会話にもたつき有り ■備考 Hardware + 3xNative PCSX2 v1.5.0 dev-2986 GSdx32-AVX2 1.1.0 SPU2-X 2.0.0 SCPH-70000 Core i7-6700K DDR4-2666 24GB Radeon RX480 Windows10 64bit ■動作速度・不具合の有無 Revo達成率99%までプレイ。 D3D11 HW+3x Nativeで基本60fps。「研究施設破壊」が少し重くなりやすいくらいで、他に目立った処理落ちは無し。 ↑で言われてる水面はそのまま。SWレンダなら正常になるが、HWレンダだとOpenGLでも直らない。 ベイロードシティのビルの一定高度より上の部分が近づくとチラつく。SWレンダでも発生するが、少し目障りなくらいでプレイには問題無い。 2~SLと違って、Native以外でも台詞や各種UIなどの周囲に変な線が出ることはほぼ無い。 D3D+HWだと以下に挙げるような描画の不具合が発生する。ミッション中のマップ画面の地形表示が見えない。表示されてもHUDが透けたりする。 ナイトビジョンが緑になるだけで全く明るくならない。一応HW HacksのAlphaにチェックを入れればだいぶ見えるようにはなるがそれでも暗いし、入れっぱなしだと他のマップで異様に暗くなったりするので非推奨。 「ナービス警備部隊排除」「クレストAC排除」などのミッションが明らかに暗い。 これらはSWレンダか、HWレンダでもOpenGLなら発生しない。ただしグラボがラデだとOpenGL+HWはどうあがいても20fpsしか出ないので諦めましょう。 アーマード・コア ラストレイヴン(ス)(動作状況 ○) PCSX2 0.96 ZeroGS 0.971 SPU2-X 1.10 SCPH-10000JP(JP1.00) core2quad Q9550 2.83G DDR2 800 2GBx2 Geforce9800GT WinVista Homebasic ■動作速度・不具合の有無 未クリア。ミッション、アリーナ序盤だけプレイ。 メニュー画面で3Dモデルに縦縞、又は表示崩れ。メニュー表示には問題なし 一部でテクスチャ崩れ、床透過等有り FPS 40~60 AAかけると30前後 ■備考 全体的に少し遅い感じもしたが、遊べる範囲かと。 アーマード・コア ラストレイヴン(動作状況 ○) PCSX2 v1.5.0 dev-2986 GSdx32-AVX2 1.1.0 SPU2-X 2.0.0 SCPH-70000 Core i7-6700K DDR4-2666 24GB Radeon RX480 Windows10 64bit ■動作速度・不具合の有無 ストーリー一周+VRアリーナ1位まで。 Direct3D11 SWで60fps。 描画の不具合とかはない…はず。実機で確認するのが億劫なので確認していないが、露骨におかしいところはない。↑で言われてるメニュー画面(ガレージ)での3Dモデルの縦縞や表示崩れも無い。 HWで内部解像度をNativeより上にするとガレージですら速度が低下する。GSは低いままなのでスペックの問題ではない?出撃後に至ってはD3D/OpenGLどちらも真っ黒。HW HacksのPreload Frame Dataにチェックを入れれば表示されるようにはなるが、強制Nativeになってしまう。また、Preload Frame Dataで表示させてもD3D+HWはかなり暗くなる。OpenGLなら一応は問題無い。 NXやNBのデータを認識せず引き継ぎができない場合、GameIndex.dbfの SLPS-25462 もしくは SLPS-73247 のところに以下の1行をコピペすれば認識するようになる。MemCardFilter = SLPS-25462/SLPS-73247/SLPS-25408/SCAJ-20076/SCAJ-20077/SLPS-25338/SLPS-25339/SLPS-73202/SLPS-73203 PCSX2 v1.7.3229 Vulkan HW iso起動(chd) SCPH-70000 Core i5-12600KF DDR4-2666 32GB GeForce RTX3060Ti Windows11 ■動作速度・不具合の有無 数ミッションのみ確認。4x Nativeで60fps。 HWレンダでの問題は粗方解決されていた印象。少なくともガレージすら重い、出撃後に画面が表示されないといった事は無くなっていた。ミッション開始直後は画面がSWレンダより汚い状態だが、F9を2回押してHWに切り替え直すときちんとHWレンダの画質で描画されるようになる。追加ミッションに入ると画質が戻るのでもう一度F9を2回。 メモリーカードがフォルダ形式だとNX・NBから引き継ぎできない問題はそのまま(誰も追加していない…)。N系だけは普通のメモカで管理するか、GameIndexを編集すること。v1.7.3241にて追加されました。何らかの理由でそれ以前のバージョンを使用するのでなければ、何もせずともフォルダ形式のまま引き継ぎが可能です。 アーマード・コア2 (動作状況 ○) PCSX2 r1474 GSdx r1637 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 ミッションとアリーナを少し確認。ステージによってFPSが低下するが基本60FPS Gsdx10Hard(blend bff,1024x1024) ■備考 妙な線などはNativeにすることで回避出来る 特に支障は無さそう スピハク、アドバンスはデフォ設定 pcsx2-v1.5.0-dev-2484 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 Ryzen3 2200G@3.5GHz PC4-21300 4GBx2 Radeon RX Vega 8 Win10 64bit ■動作速度・不具合の有無 ミッション、アリーナを全てクリア。 常時60FPS維持。 アーマード・コア2 アナザーエイジ (動作状況 ○) PCSX2 r1474 GSdx r1637 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 動作状況×になってたんで、上書き修正しておきます。 序盤のミッションだけ確認。基本60FPSなのだが AC2より負荷が大きめの様子 Gsdx10Hard(blend bff,1024x1024) HWレンダだと太陽のエフェクトが正常に表示されない ■備考 こちらも同様にNativeで線の表示は治せる スピハク、アドバンスはデフォ設定 pcsx2-v1.5.0-dev-2484 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 Ryzen3 2200G@3.5GHz PC4-21300 4GBx2 Radeon RX Vega 8 Win10 64bit ■動作速度・不具合の有無 全ミッションクリアを確認。常時60FPSで動作。 ■備考 投稿:2019/1/21 アーマード・コア3(動作状況 ○) PCSX2 v1.5.0 dev-2986 GSdx32-AVX2 1.1.0 SPU2-X 2.0.0 SCPH-70000 Core i7-6700K DDR4-2666 24GB Radeon RX480 Windows10 64bit ■動作速度・不具合の有無 達成度100%まで。 D3D11 HW、3x Nativeでほぼ常時60fps。「レイヴン試験」「レイヴン試験妨害阻止」でマップ南側にいる時に北を向くと、解像度に関係無くfpsが40程度まで落ちるが目立つのはそれくらい。 HWレンダだと水の描画がおかしい。気になるなら該当マップだけSWレンダで。 内部解像度がNativeでないときにUIのあちこちに出る線は、 こちら のKnown IssuesのWorkaroundにあるようにTexture Offsetsを設定したら直った。ただしこれをやってもミッション前のロード画面の線はそのまま。Align(Merge) Spritesでも消えない。 アーマード・コア3 サイレントライン(動作状況 ◎) PCSX2 r3618 Gsdx 2693 SPU2X 1.4.0 Gigaherz'cDVD Plugins0.8.0 C2Q Q9550 2.83Ghz DDR2 800 2GBx2 RADEON HD 4870 Windows Vista HP SP2 ■動作速度・不具合の有無 Hardware + Native + Weave bff 特に表示崩れもなく、動作も軽い。3はまともに遊べないのにね。 クリア未確認 スピハク、アドバンスはデフォ設定 あいかぎ~ぬくもりとひだまりの中で~(動作状況 ○) pcsx2 0.9.8.4557 GSdx4557 0.1.16 SPU2-X r4510 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 ゲーム中の2/1終了(起動程度)の動作確認 グラフィックに切れ目ができる nativeチェックで改善 OPで画面が上下2分割になる(設定で改善するかは、あまり模索してません レンダラーsoftでは改善せず) ZeroGSもグラ切れ目 OP2分割が発生 動作は60fpsで快適 ■備考 投稿:2011/04/10 PCSX2 1.7.2300 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 ゲーム中の2/1終了まで動作確認 解像度を上げるとグラフィックに切れ目ができる(Valkanも同様) OPで画面が上下2分割になる問題は発生せず nativeで60fps動作 ■備考 2022/2/4 アイシールド21 アメフトやろうぜ!Ya-!Ha-!(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 序章終了まで動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2021/1/1 アイズピュア(動作状況 ◎) pcsx2 0.9.9.4816 GSdx4811 0.1.16 SPU2-X r4811 2.0.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 第一話終了まで動作確認 Renderer-Hard設定だと、テキストを進める際、一瞬まったく関係ない映像が表示される 上記の問題はRenderer-Soft設定にしておくと発生しない ZeroGSを使うと、細かい表示の問題が発生する Renderer-Soft設定で60fps動作する ■備考 ID SLPM66570 CRC 0x7C3CD179 投稿:2011/7/27 PCSX2 1.7.2300 Vulkan iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 第一話終了まで動作確認 Direct3D11で解像度を上げるとテキストの終わりに画面に切れ目が入る場合アリ 上記以外は確認した範囲で問題なし 3Xnativeで60fps動作 ■備考 2022/2/4 アイドル雀士R 雀ぐる★プロジェクト(動作状況 ◎) pcsx2 0.9.7.4461 GSdx4459 0.1.16 SPU2-X r4336 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 シナリオ-モーパイン(リーダー:サトル)END確認 特に目立った不具合もなく概ね60fpsで動作 ■備考 投稿:2011/03/30 PCSX2 1.7.2300 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 シナリオ-ピンフレディ(リーダー:ちばぴょん)END確認 特に目立った不具合もなく3Xnativeで常時60fps動作 ■備考 2022/2/4 アイドル雀士スーチーパイIV (動作状況 ◎) PCSX2 0.94 Gsdx9 10-4 ZeroSPU2 0.4.4 Linuzappz CDVD 0.7.0 SCPH-38000 C2D E6600 (定格) DDR2 800 1GBx2 GeForce7900GS WindowsXP SP2 ■動作速度・不具合の有無 特に問題なし。クリア確認 P.E.Op.S. SPU2 1.9.0だと音がかなり歪む ■備考 2Dゲだけに動作は完全。 プレステ2エミュについて語ろうpart15【PS2】の486より えーと、wikiでスーチーパイⅣの動確書いた者ですが、 今改めて確かめたらスタート画面真っ黒ですな・・・おかしいな。 いやアレ書いた時点では確かにちゃんとGSdxでキレイに描画されたはずなんですが (ZeroGSだと背景が色化けするからあえてGSdxを指定していたはずなのにorz) まあZeroGSで動くのでそっちでお願いします。 wikiも書き直すか・・・ PCSX2 0.99 svn4660 ZeroGS 0.97.1 SPU2-X r4662 1.40 Cdvd Gigaherz r4488 0.8.0 C2D E4300OC 3.00GHz DDR2 800 2GBx2 Radeon HD4850 Windows7 SP1 Ultimate ■動作速度・不具合の有無 HACKなしで60fps張り付き そのままプレイするとメニュー画面や麻雀時背景が激しい色化け、撮影モード時表示バグ クリア確認(おまけシナリオ2クリアまで) ■備考 色化け対処は描画異常を起こす画面が表示されていない時(OPや掛け合い時)にPCSX2側のアプリ側でポーズを行い、復帰させると以後描画が正常になりました また、SD表示のショウコ顎部の描画がややおかしいのは改善しませんでした GSdxでは麻雀モード時麻雀牌がすべて真っ白になってしまいますが、こちらの対処は不明です。 検証は自環境のみなので、他環境では同様に解決するかは不明 pcsx2 0.9.9.5092 GSdx5100 0.1.16 SPU2-X r5025 2.0.0 LinuzIso CDVD 0.9.0 Ci5 2500K@定格 DDR3 4GBx2 Geforce GTX560Ti win7 64bit窓辺ななみ pcsx2 1.5.0 dev3091 GSdx1.1.0(20190425164807) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 報告されている牌真っ白の問題は見受けられず ユキ選択して1局打った(起動程度)の動作確認 しゃべる口元に切れ目が出来るが「native」チェックで改善 60fps動作 ■備考 ID SLPM66783 CRC 0x0E4A8DBE 投稿:2012/3/22 ■動作速度・不具合の有無 玲子選択で最後までプレイ確認 D3D(HW)6×Native 60fps動作 特に問題点はみあたらず 2つ報告があったのを統合 自分の確認結果も踏まえ◎評価 投稿 2019/04/28 アウトモデリスタ U.S.tuned(動作状況 ○) pcsx2 0.9.9.4627 GSdx4630 0.1.16 SPU2-X r4619 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 アーケード-RX-7-鈴鹿 ガレージライフ-MR-2-阪神高速/USスピードウエイ 走行の動作確認 45~60fps fps低下時に重くなる感はあまり感じませんでした(平均すると55fps以上で動作しています) ■備考 いつの間にやら0.9.9ってなってるけど、 その次は1.0.0なんですかね?(完成?) 投稿:2011/5/9 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 1コース走っただけ ■備考 投稿 2021/08/20 アオイシロ (動作状況 ○) PCSX2 0.95 svn369 Gsdx 1.8 svn759 ZeroSPU2 0.4.6svn366 Linuzappz CDVD 0.7.0 SCPH-50000 C2D E6600@3GHz DDR2 800 1GBx4 GeForce8800GTX WindowsXP SP3 ■動作速度・不具合の有無 Native以外の描画解像度では一部画像に縦線が入ります。 和尚の音声に若干ノイズあり。 OP等のムービーの再生が引っかかる。 ■備考 場面によるが、文字と音声が同時に出る部分で文字表示の最初と音声出力が重なる時若干スローになるが、文字出力を一括にすれば回避可能 汀、保美ルートクリア確認。 ネタバレ全表示にて全CG ムービーは再生可能 EDもOPと同じで引っかかる pcsx2-v1.7.5267 Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 基本60FPS(100%) 最初の選択肢まで確認 速度的にはVulkan、6xNativeでも問題ないが、余計な線が入る。が、2xNativeのみほぼ出なくなるので内部解像度を上げてハードレンダでプレイしたいなら。 ■備考 投稿 2023/12/09 アカイイト (動作状況 ○) PCSX2 rev 2186 rev 2190 GSDX 0.1.15 rev 2120 SPU2-X 1.3.0 Linuz Iso CDVD 0.9.0 SCPH-50000 intel C2D E8400@3GHz 1GBx2 GeForce8600GT WindowsXP SP3 ■動作速度・不具合の有無 実機並みの速度で遊べる。プレイに支障なし。 ■備考 アオイシロと同じくNative以外の解像度では一部画像に縦線が入る。 OPで縦線が入る。 PCSX2 1.5.0 GSdx 20190525171917 1.1.0 SPU2-X 20199525171917 2.0.0 cdvdGigaherz 20190525171917 0.11.0 SCPH-10000 i5-1035G7 16GB i5-1035G7 Windows10 64bit ■動作速度・不具合の有無 分岐図の既読ルートと現時点でのルートの表示が乱れる。 何度かスクロールアウト/インを繰り返すと正常に表示される。 ■備考 画面の縦線については確認できない(表示されない) PCSX2 1.6.0 GSdx 20200506140834 1.2.0 SPU2-X 20200506140834 2.0.0 cdvdGigaherz 20200506140834 0.11.0 SCPH-10000 i5-1035G7 16GB i5-1035G7 Windows10 64bit ■動作速度・不具合の有無 分岐図の既読ルートと現時点でのルートの表示が乱れる症状は1.5.0と同様だが、1.6.0ではエラーの出る頻度が減った。(正常に表示されることの方が多い) エラーが出た場合は何度かスクロールアウト/インを繰り返すと正常に表示される。 ■備考 画面の縦線については確認できない(表示されない) アカギ 闇に降り立った天才(動作状況 〇) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 回想対局 半荘 伝説対局1種成功 rendererHWだと起動時のD3パブリッシャーロゴが左方向にずれる それ以外は確認した範囲で不具合無し 6xNativeで60fps動作 ■備考 2020/4/24 あかね色に染まる坂ぱられる(動作状況:○) PCSX2 0.95 svn377x3v4 GSdx 0.10.0 P.E.Op.S.SPU2 1.9.0 SCPH-10000 Athlon64X2 5000BE @2.8Ghz DDR2-800 4GB Radeon2600XT 256MB VistaUltimateSP1 32bit ■動作速度・不具合の有無 OPムービーに動画と音声のズレあり(グラボ交換で改善する余地あり) その他動作には問題なし あしたのジョー~まっ白に燃え尽きろ!~(動作状況 〇) PCSX2 1.7.5120 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 ストーリー第三章まで動作確認 確認した範囲で問題なし 3Xnativeで常時60fps ■備考 2023/10/16 あずみ(動作状況:○) pcsx2-097 r4010 GSdx-3955 SPU2-r3993 140- Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ハードレンダでほぼ50FPSで快適 アトリエ マリー+エリー ~ザールブルグの錬金術師 1・2~(動作状況:○) pcsx2 0.9.8 GSdx-4600 SPU2-X-r4600m Linuzappz ISO CDDVD 0.9.0 intel core i5 660 DDR3 4GB RADEON HD6450 Windows 7 64bit クリア確認。画質はnative。 ハードレンダでは表示に難アリ。ソフトレンダでほぼ改善。 エリーのアトリエにおける戦闘シーンで砂嵐等の演出が入る場合にFPSが大きく落ちるが、それ以外ではほぼ問題なさげ。 アニメバトル 烈火の炎(動作状況 ○) pcsx2-v1.7.0-dev-953 GSdx32-avx2 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS チュートリアルのみチェック v1.6.0だと戦闘アニメ表示がおかしくなる(ゴミが表示されたりアニメ部分が書き換わらなくなる) ■備考 投稿 2021/02/15 アマガミ(動作状況 ◎) PCSX2 r1258 GSdx 890(MSVC15.00, SSSE3)0.1.14 ZeroSPU r1390 SCPH-70000 C2Q Q9550(定格) PC6400 2GBx2 RADEON HD4870 XP SP3 ■動作速度・不具合の有無 rev1006以降のGSdxではソフトウェアでも文字が欠ける SPU2-Xだとキャラクターの音声にエコーがかかる。ZeroSPUでは正常 一部のシーンでとても重い fps40-45(温泉の湯気) クリア確認、完動。後は上記の通り pcsx2 0.9.6 GSdx 890(MSVC15.00, SSSE41)0.1.14 SPU2-X 1.1.0 SCPH-70000 C2D P7350 2.0Ghz DDR3 2GBx2 Geforce 9800M XP SP3 ■動作速度・不具合の有無 俗に言うMacBookです。 ハードウェアでほぼFPS60をキープできますが、行動選択のところではFPS40ほどまで落ちます。 立ち絵が二人以上の場合FPS50以下になる事がありますが問題ありません。 一部キャラの表情に不要な線が表示される場合がありますが気になるほどではないです。 クリアは確認していませんが通常通りプレイ可能かと思います pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 森島はるか スキエピローグBEST確認 Rendererをsoftにしないと女の子がして欲しい会話部分の表示がおかしい 解像度を上げるとキャラグラフィクに切れ目が入る&一部表示されないのでNative推奨 常時60fps fpsの低下は見受けられず ◎評価とします 投稿 2019/3/13 アメリカ横断ウルトラクイズ(動作状況 〇) PCSX2 1.7.0-dev-652 GSdx 1.2.0(20201202230220) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 オリジナルモード第一問(不正解)→敗者復活(失敗)まで動作確認 ネットワーク等外部機器を使う部分は私が環境を持ってないので未確認 D3D 6×Nativeで60fps動作 ■備考 2020/12/6 あやかしびと -幻妖異聞録-(動作状況 ○) pcsx2-v1.7.5624 Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 Game 60FPS Video 60FPS(100%) ハードレンダで内部解像度をx2以上にすると立ち絵の表情差分に切れ目がでる。x1.25~1.75だとグラフィックが荒くなる。 起動確認程度 ■備考 投稿 2024/03/20 あやかし幻灯話 妖鬼姫伝(動作状況 ○) pcsx2-v1.5.0-dev-3030 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、6xNativeで60FPS 序盤のみのチェック ■備考 投稿 2019/04/09 アルトネリコ 世界の終わりで詩い続ける少女(動作状況 ○) PCSX2 0.9.5 377x3v4 GSdx 0.1.9svn786 ZeroSPU2 0.4.6 SCPH-50000 C2D E4400@3Ghz DDR2-800 2GB Geforce8800GT@512MB XP SP2 ■動作速度・不具合の有無 基本的に60可だけど、ワールドマップは30程度と重い ガスト特有の一枚絵がずれる スピハクを全てチェック無しで全ED確認 セーブが1箇所しか出来ない 時々不意にフリーズするのでこまめにステートセーブをお勧め ■備考 スピハクのチェックをx2とDenormals are Zeroでワールドマップはほぼ60可ただし、若干落ちやすくなるかもなので基本的には無しを推奨 PCSX2 r1287 GSdx r1426 SPU2-X-r1419 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 LimitOnでFPS60固定。LimitOffだと120fps行くが、ワールドマップだと60fps前後に低下 CGのずれは改善 1300番以降のrevだとどうやら起動しない模様 上記の報告にある不意にフリーズする現象も無い(改善?) クリア確認 ■備考 D3D internal resを弄ると立ち絵諸々変になるのでNative推奨 Speed Hacks, Advanced等はデフォ設定 PCSX2 r1443 GSdx r1442 SPU2-X-r1419 SCPH-50000 C2D E8500@3.16Ghz DDR2-800 4GB RADEON HD 4670 WinXP SP3 ■動作速度・不具合の有無 本体のrev1433より再び動作するようになった。 どのrevの修正が効いているかは不明だが、3Dの描画速度が改善している。 ■備考 Speed Hacksはチェックボックス全てON、Advancedはデフォルト。Limit Offでワールドマップの一番重いところで120fps程度。 pcsx2-v1.5.0-dev-2484 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 Ryzen3 2200G@3.5GHz PC4-21300 4GBx2 Radeon RX Vega 8 Win10 64bit ■動作速度・不具合の有無 Speed Hacksをいじらずエンディングまで確認。常時60FPSを維持していた。 pcsx2-1.4.0 GSdx32-avx2 SPU2-X cdvdgigaherz i7-7700 3.6GHz 16GB Geforce GTX-1060 Windows10 home 64bit ■動作速度・不具合の有無 通常設定で稀に全体マップのロードにミスする以外に主だった不具合はなし。 ストーリー進行によるダウンロードの説明とコスモスフィア進行によるインストールの説明が重複すると、宿屋で任意のインストールが行えなくなりましたが、おそらく実機でも発生するプログラムミスだろうと思われます。 初回起動ではデモ、オープニングともに正常再生されますが、メモリーカードにデータを作成すると音声が流れなくなり動画ももたつきます。確認はしていませんが、ムービーの直前にメモリーカードを抜けば回避可能かもしれません。 2020/10/26 アルトネリコ2 世界に響く少女たちの創造詩(動作状況 ○) PCSX2 0.9.6-r976 GSdx 0.1.9r962 SPU2-X-r968 CDVDiso-r798 SCPH-50000 C2D E4400@3Ghz DDR2-667 2GBx21Gbx2 Geforce8800GT@512MB XP SP3 ■動作速度・不具合の有無 一枚絵も直って再現度も高く低スペでも軽快 前回報告時のフリーズ後、全てやり直してのクリア確認 基本的に60キープ可能 ワールドマップが55まで落ちたのでスピハクのINTCのみ〆(r1047ではINTCは不要だったので、不具合がなければr1000以降を推奨) ワールドマップでマップ左側の下半分が崩れる。 箱の前で地下に落下することが多い ■備考 マップ移動時に不意にロードミスになるので、セーブはこまめが良いかもしれません(Phase4まではならなかったけど、5になってクリアまで20回以下程度)この場合ステートのロードし直しでも良いですが本体再起動後のメモカからのロードが一番確実に直ります 設定は↑のINTCを除いて本体はDefault GSdxはTexture/LogZ/Alpha ワールドマップの不具合はソフトレンダでまともになる他の設定ではどっちもどっちにしかなりませんでした アルペンレーサー3(動作状況 ○) PCSX2 0.97 r3375 GSdx10 0.1.4 SPU2-X r3365 1.4.0 Linuzappz CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce 9800GTX+ Windows7 Ultimate 32bit PCSX2 1.7.0-dev-164 GSdx 1.2.0(20200820153420) SPU2-X 2.0.0 cdvdGigaherz 0.11.0 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 XP時代ではコースが正常に表示されませんでしたが、HDDクラッシュ契機にOSを7に。 GSdx10を導入して起動するとヌルヌル(ほぼ常時60fps)動きました^^ CROSS Race モード melinaで動作確認 ■備考 グラボも買い換えたいなぁ... 投稿:2010/07/03 コア2DUO時代でも快適に動作してた記憶があるが、1.7.0で再確認 ウインターカップモードで1戦 D3D(HW)6Xnativeで60FPS動作確認した範囲で問題なし 2020/8/28 アンリミテッド・サガ(動作状況 ○) pcsx2-097 r4010 GSdx-3955 SPU2-r3993 140- Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 序盤だけ確認 動作は軽くて快適、常時60fps 特に不具合も見られず PCSX2 0.95 r564 GSdx9 0.11.4 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 C2D E6850 (3.6Ghz) DDR2-800/DC 1GBx2 512MBx2 Geforce 8800GT/OC WindowsXP SP2 ■動作速度・不具合の有無 r564で正常に動作するようになりました。 ZeroGSではリールが表示されないのでGSDXを使おう。 ■備考 藍より青し(動作状況 ◎) PCSX2 1.7.0-dev-1578 GSdx Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 南の島編-思い出の品の貝殻エンディング確認済み 不具合は特に見当たらず D3D 6×Nativeで60fps動作 ■備考 2021/8/7 蒼い海のトリスティア(動作状況 ○) pcsx2-v1.5.0-dev-2246 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 軽いチェック程度でクリアまではチェックしていないが問題らしい問題は見受けられない。 ■備考 マニュアルには書いていないがシステムデータはゲームスタート後にセーブしないと作成されないので初めてプレイする場合、システムデータをロードしないでゲームを始める必要がある。そのときのカーソル位置が「いいえ」になっているので注意。PS2のゲームではたまにある。 投稿 2018/01/08 追記2018/03/18 蒼い空のネオスフィア(動作状況 ○) pcsx2-v1.5.0-dev-2253 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(SW)でほぼ常時60FPS。HWでは会話画面などで画面が2ドットくらい上下する。 ■備考 投稿 2018/01/13 赤川次郎 月の光 ~沈める鐘の殺人~(動作状況 〇) PCSX2 1.7.3165 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 プロローグ終了まで動作確認 確認した範囲で問題なし Direct3D11 3Xnativeで常時60fps動作 ■備考 2022/8/6 悪代官 (動作状況 ◎) PCSX2 v1.2.1-565 Gsdx 0.1.16 SPU2-X 2.0.0 Cdvd Gigaherz 0.9.0 Core i7 920 3GB Geforce GTX 560 Ti Windows8.1 ■動作速度・不具合の有無 問題なし 悪代官2 ~妄想伝~(動作状況:○) PCSX2 0.95 svn377x3v4 GSdx 0.1.9 svn786 ZeroSPU2 0.4.6 SCPH-50000 C2D E4400 2Ghz@3Ghz DDR2-800 2GB Geforce8800GT 512MB XP Pro SP2 ■動作速度・不具合の有無 スピハクのx2かx3で大体60キープ可能 OPはx2かx3を入れないと60は無理、ただし入れるとBGMがまるで同期しない外せばBGMは若干ましになりますがちょっとスローになる 最初の戦闘?までやってみましたが特に問題なさそうでした 暴れん坊プリンセス (動作状況 ○) pcsx2-v1.5.0-dev-2237 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeで常時60FPS。特に問題はないようだ。 Native解像度以外だと選択肢などに線が入るが支障はないだろう。 ■備考 投稿:2017/12/17 雨格子の館(ス)(動作状況 ○) PCSX2 0.94 GSdx9v10-8 ZeroSPU2 0.4.4 SCPH-30000JP C2D T7700 2.4Ghz DDR2 677 1Gx2 Geforce 8600M GT Vista HomePremium ■動作速度・不具合の有無 30~60fps ■備考 プレイに支障ないレベルで安定してる 一部グラがかけてたりするがまあ気にならないだろう 突発的なバグとかは調べてないが最後までできそうではあるな 雨格子の館(動作状況:◎) pcsx2 1.1.0(r5444) GSdx5209AVX0.1.16 SPU2-X r5445 2.0.0 LinuzIso CDVD 0.9.0 Ci5 2500K@定格 DDR3 4GBx2 Geforce GTX560Ti win7 64bit窓辺ななみ ■動作速度・不具合の有無 報告済みのグラフィック欠けは見受けられず 60fps動作 起動直後の館で登場人物全員と話せるところまで動作確認 ■備考 ID SLPS25702 CRC 0x35ED3C16 投稿:2012/11/19
https://w.atwiki.jp/antiqrm/pages/92.html
*体験版 体験版あり:1作目、2作目(魔法使い、アラロス) 体験版なし:3作目、4作目(鳩蟻、黒蟻) 某SNSのシナリオライター兼代表の日記より 今回、体験版は確実に間に合わない。(少なくとも予約締切日までには絶対に) まあ…下手に体験版にこだわってバグを出すとか延期になるよりも建設的かと思います。 ……あとでゆっくり作ろう。 鳩蟻発売1ヶ月前の日記、あとで作ろうとはあるが現在(08/1/13)まで体験版なし。 今後体験版を作るか不明。 3作目は体験版はないが使用ツールが前作と同じため、動作確認はまだアラロス体験版で代用出来るかもしれない。 4作目の黒蟻は使用ツールを変更、使い慣れていないからかバグ多し、 推奨動作環境内でもフリーズが頻繁に起こる等報告があるが事前に動作確認むりぽ。 関連:【[[作品]]】【[[アラロス体験版]]】【[[クロアリバグ 修正パッチ騒動]]】
https://w.atwiki.jp/emups2/pages/66.html
PS2ソフト動作報告 - と .hack//感染拡大 Vol.1 (動作状況 ○) .hack//G.U. Vol.1 再誕(動作状況 ○) .hack//G.U. Vol.2 君想フ声(動作状況 ○) .hack//G.U. Vol.3 歩くような速さで(動作状況 ○) 12Riven the Psicliminal of integral(動作状況 ◎) Dog of Bay(動作状況 ○) DRIV3R(動作状況 ○) DRIVER パラレルラインズ(動作状況 ○) DRIVING EMOTION TYPE-S(動作状況 〇) トゥームレイダー アニバーサリー(動作状況:○) トゥームレイダー アンダーワールド(動作状況:○) トゥームレイダー 美しき逃亡者(動作状況 ○) トゥエルブスタッグ(動作状況 ○) トゥルーティアーズ(動作状況 ○) True Love Story Summer Days, and yet... (動作状況 ○) トゥルーラブストーリー3(動作状況 ◎) トゥハート&トゥハート2 限定デラックスパック(動作状況 ◎) To Heart 2 (動作状況 ○) ドカポンDX わたる世界はオニだらけ (動作状況 ○) ドカポンキングダム(動作状況 ○) ドカポン・ザ・ワールド(動作状況 ○) ときめきメモリアル3(動作状況:○) どこでもいっしょ トロといっぱい(動作状況:○) どこでもいっしょ 私なえほん(動作状況 〇) ドッグズライフ(動作状況 〇) トップをねらえ!(動作状況:○) ドナルドダックレスキュー大作戦!!(動作状況 〇) トライアングルアゲイン -Triangle Again-(動作状況 〇) トライアングルアゲイン2 -Triangle Again2-(動作状況 〇) ドラゴンシャドウスペル(動作状況:○) ドラッグ オン ドラグーン(動作状況 ◎) ドラッグ オン ドラグーン(ス)(動作状況 ○) ドラッグ オン ドラグーン2(動作状況 ◎) ドラッグ オン ドラグーン2(ス)(動作状況 ○) ドラゴンクエスト&ファイナルファンタジーinいただきストリートSpecial(動作状況 ○) ドラゴンクエストⅤ 天空の花嫁(ス)(動作状況 ◎) ドラゴンクエストVIII 空と海と大地と呪われし姫君(動作状況 ○) ドラゴンクエスト 少年ヤンガスと不思議のダンジョン (動作状況 ○) ドラゴンボールZ3 (動作状況 ○) ドラゴンボールZ Sparking! (動作状況 ○) ドラゴンボールZ Sparking! NEO (動作状況:○) ドラゴンボールZ Sparking! METEOR(動作状況 ○) ドラマティックサッカーゲーム日本代表選手になろう!(動作状況 〇) トランスフォーマー(動作状況 △) トランスフォーマー(動作状況 ○) トランスフォーマー THE GAME(動作状況 ○) とらかぷっ!だーっしゅ!! でらっくすぱっく(動作状況:○) トリガーハート エグゼリカ エンハンスド(動作状況 ○) トリノホシ~Aerial Planet~(動作状況 ◎) ドリフトナイツ juiced2(動作状況 〇) トルネコの大冒険3 (動作状況 ○) トレインシミュレーター&電車でGO!東京急行編 (動作状況:○) どろろ (動作状況:○) 桃華月憚-光風の陵王-(動作状況 〇) 東京バス案内2(動作状況 ○) 東京魔人學園外法帖血風録(動作状況 〇) 突撃!アーミーマン 史上最小の作戦(動作状況 〇) 怒首領蜂 大往生(動作状況 ○) 智代アフター ~It's a Wonderful Life~(動作状況 〇) .hack//感染拡大 Vol.1 (動作状況 ○) PCSX2 0.96 rev1120 Gsdx rev1121 SPU2-X rev968 SCPH-50000 C2D E8500 3.17GHz mem 2GBx2 GeForce GTX280 Windows Vista ■動作速度・不具合の有無 Gsdxの設定をDirectX10にすると起動後に強制終了するDirectX9でも序盤で落ちる Speed HacksのVU Cyde Stealing(experimental)をModerate speedup for 3D geometry以上に設定すれば落ちない 本体r1424 Gsdx-r1426 だとスピハクOFFでも大丈夫っぽい?(クリアまで強制終了無し)です。 PCSX2 v1.5.0 dev-1885 GSdx 1.1.0 SPU2-X 2.0.0 SCPH-70000 Core i7-6700K 4.00GB DDR4-2666 24GB Radeon RX480 Windows 10 ■動作速度・不具合の有無 ハードウェア+Direct3Dだと影が描画されない。OpenGLにすれば描画されるが、Radeonは相性が悪いようで内部解像度をNativeにしてもfpsが安定しなかった。HW+OpenGLにしたらログに"The OpenGL hardware renderer is slow on AMD GPUs due to an inefficient driver."との表示。どうやらRadeonのドライバがクソなのが原因なようです。GeForceなら問題無いと思います。 Vol.4までクラッシュせずクリアを確認。 .hack//G.U. Vol.1 再誕(動作状況 ○) PCSX2 0.96 rev1120 Gsdx rev1121 SPU2-X rev968 SCPH-50000 C2D E8500 3.17GHz mem 2GBx2 GeForce GTX280 Windows Vista PCSX2 0.96 rev1420 GSdx rev1420 SPU-X rev1323 SCPH-70000 Phenom 720BE@3.4G DDR667 8G HD4670 Vista Basic SP1 ■動作速度・不具合の有無 Speed HacksのVU Cycle Stealing(experimental)を 2 - Moderate speedup for 3D geometry以上に設定すれば落ちない ハードウェアで描画すると影が正常に表示されない 一部のオブジェクトが表示されない クリアまで確認。 通常55~100FPS(Native,テクスチャフィルタ),プリレンダだと60前後、ただしリアルレンダムービーは音ズレあり。スペック不足? 表示はほぼ完璧(チムチム、動物とバイクが時々消える)、フリーズもなし。上の設定は必須。 GDsxで1360以下だと、普段の速度が安定して速く、プリレンダの速度が30~50になるので、好みで使い分けると吉。 ターミナルディスクはファイル11で落ちる。それ以外は視聴可。 PCSX2 1.3.1 GSdx 1.0.0 SPU2-X 2.0.0 SCPH-70000 Core i7-2700K 3.50GHz DDR3-1600 16GB Geforce GTX780 Win7 64bit ■動作速度・不具合の有無 VU Cycle Stealingを変更せずともクラッシュせずクリアまで動作を確認。音ズレも無し。基本的には60fpsで安定していたが、一部場面では解像度をNativeまで下げても60fpsを割った。スペック不足か? 相変わらずハードウェアレンダリングでは影が描画されない。上記はDirect3D11での場合。RendererをOpenGLにしてHardware Depthにチェックをいれたところ、ハードウェアレンダリングでも影の正常な描画を確認。 ターミナルディスクも、11で引っかかりが多発したものの、クラッシュすることなく全て視聴できた。 一部オブジェクトが表示されない現象は起こらなかったのか気付かなかっただけなのか不明。 本体やプラグインの正確なバージョンは失念。 .hack//G.U. Vol.2 君想フ声(動作状況 ○) PCSX2 0.96 rev1120 Gsdx rev1121 SPU2-X rev968 SCPH-50000 C2D E8500 3.17GHz mem 2GBx2 GeForce GTX280 Windows Vista PCSX2 0.96 r1443 Gsdx r1462 SPU2-X r1121 SCPH-50000 PhenomII 720BE@3.4G 8G HD4670 Vista HB SP1 ■動作速度・不具合の有無 Speed HacksのVU Cycle Stealing(experimental)を 2 - Moderate speedup for 3D geometry以上に設定すれば落ちない ハードウェアで描画すると影が正常に表示されない 一部のオブジェクトが表示されない 戦闘や特定ムービーで速度が30FPSまで落ちるが他は60以上 榊戦後のオーヴァンのムービーで必ず落ちるのでスキップ必須 クリアまで確認 PCSX2 1.3.1 GSdx 1.0.0 SPU2-X 2.0.0 SCPH-70000 Core i7-2700K 3.50GHz DDR3-1600 16GB Geforce GTX780 Win7 64bit ■動作速度・不具合の有無 VU Cycle Stealingを変更せずともクラッシュせずクリアまで動作を確認。上で報告されている榊戦後の確定クラッシュも無かった。それ以外は上記とほぼ変わらず、相変わらずHWレンダでは影が描画されないし、一部ムービーではどうやっても30fps前後まで落ち込む。上記の影の話はDirect3D11での場合。RendererをOpenGLにしてHardware Depthにチェックをいれたところ、ハードウェアレンダリングでも影の正常な描画を確認。 一部オブジェクトが表示されない現象は起こらなかったのか気付かなかっただけなのか不明。 本体やプラグインの正確なバージョンは失念。 .hack//G.U. Vol.3 歩くような速さで(動作状況 ○) PCSX2 0.96 rev1120 Gsdx rev1121 SPU2-X rev968 SCPH-50000 C2D E8500 3.17GHz mem 2GBx2 GeForce GTX280 Windows Vista PCSX2 0.96 r1443 Gsdx r1462 SPU2-X r1121 SCPH-50000 PhenomII 720BE@3.4G 8G HD4670 Vista HB SP1 ■動作速度・不具合の有無 Speed HacksのVU Cycle Stealing(experimental)を 2 - Moderate speedup for 3D geometry以上に設定すれば落ちない ハードウェアで描画すると影が正常に表示されない 一部のオブジェクトが表示されない vol.2 とほとんど同じ。今回は落ちるところなし クリアまで確認 メールBOXの容量があるらしく、改造コード等でグリカを全種類全キャラに出し、vol.3だけで全キャラ全種類のメールコンボを最後までやってしまうと、ログイン画面からデスクトップ画面に戻るところで必ず落ちる使い物にならないデータになるので、グリカを増やさないか、vol.2までで出せるカード・キャラはすべて消費しておくことを推奨(ただし後者は試してない) PCSX2 1.3.1 GSdx 1.0.0 SPU2-X 2.0.0 SCPH-70000 Core i7-2700K 3.50GHz DDR3-1600 16GB Geforce GTX780 Win7 64bit VU Cycle Stealingを変更せずともクラッシュせず完全クリアまで動作を確認。 メールボックス容量の問題は未確認。エミュ側の問題ではなく実機でも発生する問題のように思える。 RendererをOpenGLにしてHardware Depthにチェックをいれたところ、ハードウェアレンダリングでも影の正常な描画を確認。ただしフルスクリーンにすると途端に処理速度が低下した。パフォーマンスが低下するのはフルスクリーンだけで、ウィンドウ最大化では問題無かった。 一部オブジェクトが表示されない現象は起こらなかったのか気付かなかっただけなのか不明。 本体やプラグインの正確なバージョンは失念。 12Riven the Psicliminal of integral(動作状況 ◎) pcsx2 0.9.9.4738 GSdx4780 0.1.16 SPU2-X r4780 2.0.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 エンディング「divide」確認 特に問題は見当たらず(12時の章以降はメッセージスキップを駆使しています) 60fpsで快適動作 Psi(ギリシャ文字:Ψ)は機種依存文字?と思われるので、タイトルではあえて使っていません ■備考 ID SLPM66901 CRC 0xBD20475D 投稿:2011/7/4 Dog of Bay(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 音ゲーなので遅延はあるがプレイ可能 ■備考 投稿 2022/01/10 DRIV3R(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(SW)で約60FPS。MTVUチェックとフレームスキップを常にスキップに変更。数値はデフォルトの2でいいと思う。 細かい描画不具合が残っている気もするがプレイに支障はないはず。 HWだとセーブファイル作成とかタイトル画面ではテキストが読めないなどプレイに支障があるのと結局60FPSにはならない 序盤のみチェック ■備考 今までフレームスキップがうまくいったことがなかったので見逃していた。 投稿 2021/08/10 DRIVER パラレルラインズ(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(SW)で約60FPS。MTVUチェックとフレームスキップを常にスキップに変更。数値はデフォルトの2でいいと思う。 細かい描画不具合が残っている気もするがプレイに支障はないはず。 HWだとセーブファイル作成とかタイトル画面ではテキストが読めないなどプレイに支障があるのと結局60FPSにはならない 序盤のみチェック ■備考 投稿 2021/08/10 DRIVING EMOTION TYPE-S(動作状況 〇) PCSX2 1.7.3726 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce GTX1660ti Windows11pro ■動作速度・不具合の有無 オープニングムービーで画面左がシマシマになる(D3D11以下各種レンダラーを試すも改善せず) software以外はレース後のリプレイで残像が残ったような表示になる ARCADE TYPE-S MR-Sで筑波サーキットを走行 残像気にせずでD3D12 3XNativeで常時60fps ■備考 2022/12/25 トゥームレイダー アニバーサリー(動作状況:○) pcsx2-r1221 GSdx-r1219 SPU2-X-r1214 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 32bit *公式にてIngameとなっております。もし検証出来る方がいましたら情報お願い致します。 PCSX2 1.6.0 GSdx 1.2.0 SPU2-X 2.0.0 ISO起動 i5-11400 CPU @ 2.60GHz DDR4 16GB Geforce GTX 1660 Super Windows 10 64bit ■動作速度・不具合の有無 ステージ1のみクリア。 DX3D11(Hardware)で常時60fpsキープ。 ■備考 トゥームレイダー アンダーワールド(動作状況:○) pcsx2-v1.7.5618 Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 Game 60FPS Video 60FPS(100%) ハードレンダでは画面が正常に表示されない 起動確認程度 ■備考 投稿 2024/03/17 PCSX2 1.6.0 GSdx 1.2.0 SPU2-X 2.0.0 ISO起動 i5-11400 CPU @ 2.60GHz DDR4 16GB Geforce GTX 1660 Super Windows 10 64bit ■動作速度・不具合の有無 地中海の序盤までプレイ。DX3D11(HW)でプレイ可能だが炎や波の揺らぎなどエフェクトが表示されない。DX3D11(SW)ではオリジナル忠実に再現されるが場面によって40~50fpsまで低下。 ■備考 ハードウェアレンダリングでのプレイはお薦めできない。ソフトウェアレンダリングならRendering threadsを「4」などにした方がいいかもしれない。 トゥームレイダー 美しき逃亡者(動作状況 ○) pcsx2-v1.5.0-dev-2194 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)でほぼ常時60FPS。内部解像度はNativeでチェック。 ■備考 投稿:2017/09/10 トゥエルブスタッグ(動作状況 ○) pcsx2-v1.5.0-dev-2194 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeで常時60FPS ■備考 投稿:2017/09/12 トゥルーティアーズ(動作状況 ○) pcsx2 0.9.7.4282 GSdx4270 0.1.16 SPU2-X r4270 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce9800GTX+(512) win7 ult 32bit ■動作速度・不具合の有無 ゲーム開始~10/1終了(超序盤)の動作確認 ほぼ常時60fps OPムービーで、画面右&下の隅がブレる(ZeroGSだと右下にずれる) RendererをSoftwareで解消 その他、目だった不具合は見当たらず ■備考 前回書いた560Tiは、まだ届いていません。 ギガバイトのスーパーOC版を某通販サイトで予約したんですけどね これが噂の「このザマ」ってやつですかぁw>某通販サイト 投稿:2011/02/19 True Love Story Summer Days, and yet... (動作状況 ○) pcsx2 0.9.7.3575 GSdx3557(0.1.16) SPU2-Xr3567 1.4.0 LinuzCDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce9800GTX+ Win7 ult 32bit pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 有森瞳美 転校編 END確認済 ほぼ常時60fpfだが、キャラが向きを変えたりするタイミングで一瞬45fpsくらいに低下 ほんの一瞬なのでプレイには影響なし nativeにチェックしないとキャラ立ち絵の下1/4程度が切れるなど表示の問題あり ■備考 投稿:2010/08/03 1.5.0で動作確認 神谷菜由わがまま編END確認 ほぼ常時60fpfだが、キャラが向きを変えたりするタイミングで一瞬50fpsくらいに低下 ほんの一瞬なのでプレイには影響なし native以外(確認したのは×2 ×3)にするとキャラ立ち絵の下1/4程度が切れるなど表示の問題あり 追記 2019/3/16 トゥルーラブストーリー3(動作状況 ◎) pcsx2 0.9.7.4387 GSdx4383 0.1.16 SPU2-X r4330 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce9800GTX+(512) win7 ult 32bit ■動作速度・不具合の有無 蒼月たかね END確認 ほぼ常時60fps まれに低下するが、プレイへの影響はなし 「native」にチェックしないと、立ち絵に切れ目が入るので、チェックしたほうがよさげ ■備考 投稿:2011/03/06 トゥハート&トゥハート2 限定デラックスパック(動作状況 ◎) pcsx2 0.9.9.4738 GSdx4780 0.1.16 SPU2-X r4780 2.0.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 初代 起動程度の動作確認 特に問題も無く60fps動作 2 起動程度の動作確認(OPムービーまで) 文字が無い画面に縦のスジが入る 「native」チェックで改善 60fps動作 ■備考 ダンジョントラベラー発売記念(^ー^) 初代 ID SLPS25412 CRC 0xF83244ED 2 ID SLPS25411 CRC 0x2BB1650D 投稿:2011/7/4 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit Ryzen 5 PRO 4650G PC4-25600 16GBx2 内蔵Radeon R7 Windows11 ■動作速度・不具合の有無 DirectX(SW)で60FPS。基本初期設定で問題ない。 初代はIntel側はHW、6xNativeでも問題ない。Ryzenは3xNativeまでしか確認していないがミニゲーム含めて問題ない。 2はHWでNative以外だと立ち絵などの切り替え時に線が入る。SW、HW共にInterlacingをBlend tff、Texture FilteringをNearestに 初代は4650G、SWであかりエンド、バッドエンドのみ確認。ゲーセンのミニゲーム3種も問題ない。 2も4650G、SWでこのみエンドを確認。特定部分で問題が出ない限り全員クリアは可能と思われる。 ■備考 投稿 2021/11/21 To Heart 2 (動作状況 ○) pcsx2-r893 GSdx 887 SPU2-X-r829 1.1.0 Linuzappz CDVD 0.8.0 SCPH-30000日本版 C2D E8500 定格 DDR2 800 2GBx2 Geforce6600 WindowsXP SP3 ■動作速度・不具合の有無 更新 09-04-04 基本的に60FPS以上 ■備考 ドカポンDX わたる世界はオニだらけ (動作状況 ○) pcsx2-v1.5.0-dev-2443 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 ストーリーモードをちょっとだけチェックした段階では問題なさそう。 ■備考 投稿 2018/07/16 ドカポンキングダム(動作状況 ○) pcsx2 0.9.7.3795 ZeroGS0.97.1 SPU2-X r3702 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce9800GTX+ win7 ult 32bit pcsx2 1.5.0 dev2891 GSdx1.1.0(20190117074535) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 序章 城につくまで検証 GSdxでは、途中、原因不明(追求していませんが^^;)の強制終了 ZeroGSは画面表示が安定せず(戦闘時キャラの顔が表示されなかったり、色がおかしくなったり) CPUが先に城に着いたのですが、武器屋に入ると、10秒くらい固まる(Gsdx/ZeroGS両方) 遊べる程度には動作する(武器屋除き、ほぼ常時60fps) ■備考 投稿:2010/09/25 エミュ側の対応が進んだのかスペックの向上のおかげなのか定かでは無いがほぼ常時60fps 武器屋も重く無い 序章 城につくまで検証 ■備考 投稿:2019/03/08 ドカポン・ザ・ワールド(動作状況 ○) pcsx2 0.9.7.3805 GSdx3805 0.1.16 SPU2-X r3729 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce9800GTX+ win7 ult 32bit ■動作速度・不具合の有無 城到着~数個の村解放まで検証 切れ目が入るので「native」にチェックした方がよさげ ZeroGSは、途中、原因不明(追求していませんが^^;)の強制終了 王様、村民などとの会話(2D)は60fps スゴロク画面(3D)は35fps~50fps かなり重い感じ 遊べる範囲の重さと思われるので○評価 ■備考 投稿:2010/10/06 (動作状況 ○) pcsx2-v1.5.0-dev-2443 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 城到着~数個の村解放まで検証 ■備考 投稿 2018/07/16 ときめきメモリアル3(動作状況:○) pcsx2-v1.7.5104 Vulkan ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 起動確認程度で細かいチェックはしていないが、5100で修正が入ったのでデフォルトでいけるようになった ■備考 投稿 2023/10/12 PCSX2 0.9.8r4600 Gsdx0.1.16 r4600 SPU2-X 1.4.0r4600 Gigaherz'cDVD Plugins0.8.0r4510 AthlonX2 64 6000+ DDR2 800 2GBx2 GeForceGTX460 1G winddows7HP64 ■動作速度・不具合の有無 Hardwareではクリーチャー状態なのは変わらず softwareではまともに表示されるが、FPSは40半ば。キャラアップで30切る。 そこそこ重いがやってやれなくはないレベル。だが重いシーンでの音声遅延がかなりヒドイ。 ■備考 上記スペックで、software時、EE70%、GA100%程度の負荷 i7やGTX580等のトップスペックマシンなら普通にプレイ出来るかも? Core i5 4570 メモリ8G WIN7PRO GTX460 PCSX2-5727にて再戦 2キャラ並ぶと、FPSが数秒40台に落ちるが、ほぼFPS60をキープ 若干のスピハク調整で60キープ可能です。 pcsx2 0.9.9.5128 GSdx5135 0.1.16 SPU2-X r5112 2.0.0 LinuzIso CDVD 0.9.0 Ci5 2500K@定格 DDR3 4GBx2 Geforce GTX560Ti win7 64bit窓辺ななみ pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 噂のクリーチャー状態なのかrenderer「Hard」設定では3Dキャラが正常に表示されない 「soft」設定にすると正常に表示される softのまま4月終了まで動作確認 55fps以上で動作 特に重い印象は無し ■備考 ID SLPM650850 CRC 0x39F79CBA 投稿:2012/3/25 追記 1.5.0もdev3000台になってたので更新ついでに検証 renderer「Hard」はキャラ表示が相変わらずおかしいので「soft」設定にて 基本60fps女の子が複数登場すると50fps台に低下 重さを感じる 白鳥・矢部だと60fpsなのに何だかなw EVSも「波嵐 万丈」で設定してプレイ 橘恵美END確認 万丈の発音は河合さんがいちばんしっくりくるw クリア確認したので別の人の報告と統合 重い部分を考慮して○評価とします 投稿 2019/03/10 どこでもいっしょ トロといっぱい(動作状況:○) pcsx2-v1.7.5418 Vulkan ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeでGame 30FPS Video 60FPS(100%) アンチブラーにチェック。テキストに若干ゴミが出るくらい。 起動確認程度 ■備考 投稿 2024/01/08 どこでもいっしょ 私なえほん(動作状況 〇) PCSX2 1.7.5416 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 起動~絵本1冊制作までの動作確認 確認した範囲で問題点は見当たらず 3Xnativeで常時60fps ■備考 2024/1/8 ドッグズライフ(動作状況 〇) PCSX2 2.1.56 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 起動確認程度の動作確認 特に不具合は見当たらず 3Xnativeで常時60fps ■備考 2024/8/3 トップをねらえ!(動作状況:○) (ス) Pcsx2 r4178 ■備考 詳細は不明ですが2chにて問題なく動くそうです。 (動作状況 ○) pcsx2-v1.5.0-dev-3400 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 第2話までチェックした段階では問題なく動作する。 ■備考 投稿 2020/04/08 ドナルドダックレスキュー大作戦!!(動作状況 〇) PCSX2 1.7.4839 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 冒頭部のチェックのみ動作確認 ジャイロの顔下半分の色がおかしくなる(softwareにしてみても) 色気にせず3Xnativeで常時60fps ■備考 2023/7/30 トライアングルアゲイン -Triangle Again-(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 第1章終了まで動作確認 D3D 2×Native以上に設定すると文字部に微細な切れ目が入る 切れ目気にせずにD3D 6×Nativeで60fps動作 ■備考 2020/12/19 トライアングルアゲイン2 -Triangle Again2-(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 第3章セーブポイントまで動作確認 D3D 2×Native以上に設定すると文字部に微細な切れ目が入る 切れ目気にせずにD3D 6×Nativeで60fps動作 ■備考 2020/12/19 ドラゴンシャドウスペル(動作状況:○) pcsx2-r1048 GSdx SSSE3-r1006 SPU2-X-r968 SCPH-39000 (JP1.60) C2D E8400 (3.0GHz) DDR2 1GBx2 GeForce 9500GT WindowsXP Home ■動作速度・不具合の有無 OP、序盤の動作確認 基本FPS60前後だが、エフェクト処理がかかると若干FPSが落ちる ■備考 スピハク無し Gsdxだと立ち絵の顔グラが微妙にずれる。またZeroGSでは正常に描写されるが、戦闘のFPSが40ほどに低下する(低スペックのせいか…) ドラッグ オン ドラグーン(動作状況 ◎) PCSX2 1.4.0 GSdx32-sse4 SPU2-X 2.0.0 cdvdGigaherz 0.9.0 core i7 860(2.80GHz) DDR2 2GBx4 Geforce GTX750Ti Windows7 64bit ■動作速度・不具合の有無 デフォルト設定だと主人公・敵が表示されないが、EE/IOPのクランプモードをフルにすると表示される。 ムービーはやや音が飛んだりするが、さほど問題はない。 ED後のスタッフロールが重いので、ターボやフレームスキップを利用すると良い。(tabでターボ、Shift+tabではスローモーションになる) fpsはプレイ画面ではだいたい60fpsだが、敵に囲まれている場合に魔法を使用したりするとやや低下した。 ■備考 A~Eの全エンドまで、フリーズなど一度もなくクリアすることができた。 ビデオプラグイン設定は、Direcr3D11 Hardware、2x Native、 スピードハックは、EE/IOPとVUのクランプモードをそれぞれ、フル・Extra+preserve Signに設定 EEサイクル-1、VUサイクル+1 投稿日:2016/05/05 PCSX2 0.95 rev269 GSdx 0.1.7 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 C2D E6850 (3.6GHz) DDR2-800/DC 1GBx2 512MBx2 Geforce 8800GT/OC WindowsXP SP2 ■動作速度・不具合の有無 ZeroSPU2だとOPムービー・ゲーム中のムービーでフリーズか強制終了。 ゲーム中のムービーは正常に流れるが、終わると次へ進まずフリーズ。飛ばすしかない。 ゲーム中は敵が一人も表示されず進行不可、FPSは50近辺。 Internal resをNativeにしないと画面下が正しく表示されない。 ■備考 VistaだとフィールドでFPSが10ほど低下。 PCSX2 0.9.9 rev5103 GSdx 0.1.16 SPU2 2.0.0 CDVD Gigaherz 0.8.0 Core i7 2600K (3.4GHz) DDR3-1600 4GBx2 RADION 6970 Windows7 SP1 ■動作速度・不具合の有無 DX9だと空中戦で10fps程低下DX11なら地上、空中共に60fpsで安定。 ゲーム中のムービーが右端の描写が少しおかしいが特に問題なし。 ゲーム中は敵も自分も一人も表示されないがEEのクランプモードを「なし」にすると見えるようになるとの事なのでそのまま進めたが亡霊レイスやアンデット兵士が見えない上に攻撃が当たらない現象が発生した。これの回避策はEEのクランプモードを「全て」を選択すると正常に表示され攻撃も当たる。 or use scalingを○x Nativeにすると画面下が正しく表示され、なおかつ綺麗な画面で楽しめる。 ■備考 A~Dエンドまでクリア確認。DX11使用で内部解像度3xで60fps、常に安定快適動作でした。 EDがやたらと重いのでスキップ推奨 PCSX2 1.1.0 rev5768 GSdx 0.1.16 SSE2 SPU2 2.0.0 CDVD Gigaherz 0.8.0 Core quad (2.5GHz) DDR3 4GB GTX 460 Windows8.1 64bit ■動作速度・不具合の有無 設定でEE/IOPのEE/FPをnearest+クランプ無し、VUのVU0/VU1も同様で60FPSで動作可能。 処理が重かったらスピードハック使えば問題なく動作確認。 レイスだけ表示されない現象があるが上記のクランプモードの設定で表示される。 スケーリングを倍にするとかなり重く、空中戦などは処理落ち・トークイベントでは会話と映像の遅延が目立つ。 クリアまでは可能。 ドラッグ オン ドラグーン(ス)(動作状況 ○) PCSX2 0.9.7 GSdx r3623 SPU2-X 1.4.0 Linuz Iso CDVD Core i5 750 DDR3 4G RADEON 4770 windows7 64BIT ■動作速度・不具合の有無 50~60FPS。 基本的には安定だが、戦艦などの大型以外の敵・自分が見えない。マップの点を消す作業ゲーになる。 キャラが表示されない現象についてはEEのクランプモードを「なし」に設定すると回避可能 ■備考 できそうだけど心が折れた (動作状況 ○) PCSX2 0.9.8 GSdx r4600SPU2-X 1.4.0 Gigaherz'cDVD Plugins0.8.0 Core i5 750 DDR3 4GB Radeon 5770 Windows7 64BIT ■動作速度・不具合の有無 設定画面なんかで無意味にfpsが下がるが、大きなフィールド・大量の敵でも60安定。 キャラクタ・フィールドのチラツキ・敵との戦闘はfps40ぐらいになる。 ■備考 スピハ使えばfps60いくが、敵兵が多いと映像が遅くなる。が、効果が薄く感じる。 八章まででムービー・戦闘で問題は起きてないのでクリアできそう。 ドラッグ オン ドラグーン2(動作状況 ◎) PCSX2 r1099 GSdx9 0.11.4 SPU2-X 1.1.0 Linuz Iso CDVD 0.8.0 C2D E8500 (3.13GHz) DDR2-800/DC 2GBx2 Geforce 9800GT WindowsXP SP3 ■動作速度・不具合の有無 OPムービーとゲーム序盤のムービーにて正常動作確認(60FPS維持) ゲームプレイ時は30~40FPS(Native時)、40~60FPS(600*600時)で動作する。 ゲーム中の文字、グラフィック表示が不安定。(Native時) 画面に縦線が入るがInternal resをNativeにすることで改善された。 Internal resを600*600にすることでも画面に縦線が入る不具合は改善された。 ■備考 Internal resをNativeにしないと画面下の表示がおかしくなる模様。 PCSX2 0.9.9 rev5103 GSdx 0.1.16 SPU2 2.0.0 CDVD Gigaherz 0.8.0 Core i7 2600K (3.4GHz) DDR3-1600 4GBx2 RADION 6970 Windows7 SP1 ■動作速度・不具合の有無 舩が出るイベントシーンがやたらと重い ノーム(巨大)の先頭で画面が真っ赤な編み模様で埋め尽くされるが頑張れば倒せる。 ■備考 Aエンドまでクリア確認。DX11使用で60fpsで常に安定快適動作でした。 PCSX2 1.4.0 GSdx32-sse4 SPU2-X 2.0.0 cdvdGigaherz 0.9.0 core i7 860(2.80GHz) DDR2 2GBx4 Geforce GTX750Ti Windows7 64bit ■動作速度・不具合の有無 ムービーは正常に再生可能(60fps) プレイ画面でもだいたい60fps 画面に黒い縦線が入る場合にはEnable HW HacksのAlign Spriteにチェックで直るかも。 黒い縦線とは別の、ぼんやりした白い縦線が入る場合には、Enable HW HacksからMSAAを0xにすると直った。 ■備考 ビデオプラグイン設定は、Direcr3D11 Hardware、2x Native、 スピードハックは、EE/IOPとVUのクランプモードをそれぞれ、フル・Extra+preserve Signに設定 EEサイクル-1、VUサイクル+1 ドラッグ オン ドラグーン2(ス)(動作状況 ○) PCSX2 0.9.7 GSdx r3623 SPU2-X 1.4.0 Linuz Iso CDVD Core i5 750 DDR3 4G RADEON 4770 winddows7 64BIT ■動作速度・不具合の有無 50~60FPS。 ムービーのちらつき 文字の背景が変色して読めなくなる。イベント時にはスキップ+文字送りで読める。 ■備考 がんばればできそう。 ドラゴンクエスト&ファイナルファンタジーinいただきストリートSpecial(動作状況 ○) pcsx2-v1.7.0-dev-941 GSdx32-avx2 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS ちょっと前のバージョンで修正が入ったのでマス目やトロデーン城背景の海などが改善されている 起動確認程度なのでクリアまで問題がないのかは不明 ■備考 投稿 2021/02/05 PCSX2 0.96 ZeroGS 0.97.1 SPU2-X 1.1.0 Linuz ISO CDDVD 0.8.0 Athlon64 X2 6000+ DDR2 3GB RADEON HD 4830 XP Pro SP3 ■動作速度・不具合の有無 60前後で動作。 Disable alpha testingにチェックを入れないとマスが表示されない。 ■備考 メモリーカードにセーブできない PCSX2 1.0.0 r5350 ZeroGS 0.97.1 SPU2-X r-5733 2.0.0 Linuz Iso CDVD 0.9.0 Core i5-2450M (2.50GHz) 8GB Intel HD Graphics 3000 Windows7 64bit ■動作速度・不具合の有無 上記と同じく60fpsで動作。 Disable alpha testingにチェックをいれないといけないのも同じ。 株を購入する画面で50~40fpsまで落ちるがそれ以外は特になし。 ■備考 メモリーカードに保存できていることを確認。 自分的には◎でもいいと感じました。 (2014/01/13) PCSX2 1.0.0 r5350 ZeroGS 0.97.1 SPU2-X r-5324 2.0.0 Linuz Iso CDVD 0.9.0 Celeron G530 (2.40GHz) 8GB Radeon HD7750 Windows7 64bit ■動作速度・不具合の有無 60前後で動作。スフィアダイス振った直後はたまに低下 Disable alpha testingにチェックを入れないとマスが表示されない。 リザルト画面で結果のグラフが表示されない エンディングムービーとギルガメッシュ等、一部スフィアの演出が表示されない 文字表示が多少滲む ■備考 ZeroGSの設定で1920×1080だと文字表示が多少気になるので1280×960でプレイ エンディングまで特に問題なくプレイ出来ました エンディングと一部の演出はステートセーブ後GSdxに変更することで見ることも出来ます メモカは何度か繰り返せば読み込んでくれます ドラゴンクエストⅤ 天空の花嫁(ス)(動作状況 ◎) pcsx2-v1.7.5186 Vulkan CHDっぽい おそらくWindows10か11 ■動作速度・不具合の有無 速度的には100%と思われる。内部解像度などは不明。 エンディングおよびエスタークを倒してすごろく場を出すところまで確認したらしい ゲームフィックスのFPU Negative Divide Hackにチェックすれば表示不具合なくクリアできるらしい ■備考 v1.6.0でもゲームフィックスを適用してレンダラーはD3D11(HW)で問題なくクリアできるらしい 投稿 2023/11/07 ドラゴンクエストVIII 空と海と大地と呪われし姫君(動作状況 ○) PCSX2 0.95 svn384 GSdx1.9 svn811各種 P.E.Op.S.SPU2 1.9.0 svn356 SCPH-70000(JP2.00) C2D E8500 @4.32Ghz(455.8x9.5) DDR3-1866MHz 2GB(1GB×2)(8-8-8-24) GeForce GTX280 @650MHz/1264MHz driver 177.41 XP Home SP3 ■動作速度・不具合の有無 リミットONで60FPS張り付き安定動作(D3D internal res1024x1024/native未使用) マップ次第だが、下向き視点だとFPSが落ちづらい 戦闘では敵の数や背景で変わる。数が多いと重く、減ってくると軽い。 D3D internal res=Naitiveを推奨(描画は落ちるが速度は格段に上がる) 一部キャラクターの描画でwire frameが剥き出しに(0.94以降Verのみ) 一部回想シーンで表示がおかしくなる(進行は可能)※描画設定をHardwareからsoftwareに変更することで回想シーン正常表示可能 アスカンタの店(3店)・サザンピークの武器屋が使用不能(世間話のみになる) 一部バトルロードモンスターが近づくと地面に消える (戦いたい場合、見えた辺りでQセーブし一気に近寄る。何度かやれば戦える) 一部マップでフリーズ *0.92で回避可能、該当箇所は下記の通り キラの実家付近・もぐらのアジト付近・ライドン北教会付近・三角谷周辺 法王の館・闇のレティシア(町) 闇のレティシアはVer0.92で進入時のイベント後、前進せずに歩いて左に寄る。バグった村人2名が左の柵側に寄ってくれたら進んで平気。普通に進むと村人が引っかかり、強制終了 ※svn368で上記バグ解消 暗黒魔城最下層の無人の街を3周する場所で、周回を重ねても無反応という現象発生 ※svn368で上記バグ解消。恐らくクリア可能になった 剣士像の洞くつでフリーズ→GSdx9v11-4で進める レティシアで出現するレティスの影の描画が異常 DX9で、タメや敵の稲妻とかでたまに強制終了 DX10,C2D(3.0Ghz),GF8500でも低画質、最速設定で、敵大量の時以外は常時60FPS以上で、竜神王まで無事クリア ↑をC2D(3.5OC),GF9800GTXに変えても、fpsほとんど変んなかった ■備考 ー描画量に応じて負荷が掛かる通常の症状なので、スペック影響が高そうです。 検証用セーブデータ:http //www.77c.org/d.php?f=nk3163.zip ■軽量化設定 エミュレーション設定をプリセット4に。そこからスピードハックタブのVU Cycle Stealingを3に。 GSdxのEnable HW HacksのSkipdrawを1か2に。3以上にすると表示が乱れる。 ■テキスト周辺のノイズを除去する方法 GSdx(検証したのはr5257)のEnable HW HacksのWildArmsOffsetにチェックを入れると文字周りのノイズが消える。 GSdx(検証したのはr5875)のEnable HW HacksのTC OffsetYを1にすると文字周りのノイズが消える。ただし文字欄の空白の所に小さな点が見える。 PCSX2 r1888 GSdx 1837 SPU2-x 1.2.0 SCPH-50000 Core i7 870 @2.93Ghz DDR3 2Gx2 GeForce GTS250 1GB driver 186.18 Win7 64bit RTM ■動作速度・不具合の有無 リミットONで平均60fps可能。敵が8体ぐらい現れると若干5FPSぐらい下がる。 回想シーンではRendererをSoftware処理にすると正常表示されるが、FPSが30ぐらいになる。 当PC環境で概ね快適にplay出来た。クリア確認済み。 PCSX2 0.9.9 r4839 GSdx r4838 AVX SPU2-X r4849 2.0.0 SCPH-30000 i7 2600K @4.71GHz DDR3-1870MHz 16GB(4GB×4) GeForce GTX580 @783MHz Win7 Home 64bit ■動作速度・不具合の有無 内部解像度1920×1080 リミットONで60FPS リミット開放で100~120FPS バグは特に無く快適に作動。 文字欄の空白の所に小さな点が見えるが、気になる程ではない。 ドラゴンクエスト 少年ヤンガスと不思議のダンジョン (動作状況 ○) PCSX2 0.9.6 GSdx890 4.1 SPU2-X 1.1.0 scph70000 E8400 @ 3GHz 3G Geforce 9600GT Vista Home X86SP2 ■動作速度・不具合の有無 速度 村60前後fps(3Dイベント時30前後fps) ダンジョン60fps前後(MAP表示時20前fps) ダンジョン時快適さを求めるならセレクトを押してMAP非表示にするべし (MAP非表示60fps前後になる) 当環境で2箇所フリーズ確認 -超最初の方の紙芝居アニメナレーション トルネコを助けに行ってヤンガスも捕まってしまって 牢屋からゲルダに助けられるシーンの(壁を調べた後始まる)紙芝居 ヤンガスが井戸を出た所(紙芝居の終了で3D描画進行に変わる直前)で 必ずフリーズ -初ダンジョンでまじんキノコとトルネコが戦う場面 「こいつには敵わん」から始まる紙芝居ナレーション ナレーション内でヤンガスがリレミトの巻物を使った時必ずフリーズ (フリーズする前にスタートキーで紙芝居を飛ばすと続きが出来る。 ストーリーが見たいならフリーズ覚悟で見て再起動のこと) 他は普通に遊べています スライム系や丸い形の物(ヤンガスの頭など)はポリゴンフレームが剥き出しで表示されるため、それを気にしない人は快適1歩手前でプレイが可能 ■備考 スピハク、アドバンスデフォ設定 GSdx890 4.1 3D10Hard Native ON(漂白だと画面に縦スジ)他漂白 設定しだいかもですが PCSX2本体0.9.7.r3113だとスライム系はきっちり表示されてしかもかなり綺麗 しかしながら文字部分がまったく読めないしテクスチャ反転多数のうえ重いので 本体0.9.6お勧め (動作状況 ○) PCSX2 1.0.0 GSdx r5334 SPU2-X r5324 SCPH77000JP Core i7 3770K (3.5GHz) DDR3 16G GTX680 7 Ultimate 64bit ■動作速度・不具合の有無 速度 村常時60fps・3Dイベント時60前後 ダンジョンMAP表示非表示関係なく常に60fps Speed Hack無効化時は村の奥を見ると45前後に下がります。 フリーズ 始めたばかりなのもあって、今のところ問題ありません(六職人中二人救出まで)。フリーズ発生次第追記予定。 上の方が述べている不具合には遭遇しませんでした。 ■備考 Speed Hack EE2 VU1 MTVU ON GSdx5334 SSSE3 3D11 Hardware Native ON ※同上の問題 スピードハックの影響でイベント時に音ズレが発生します。 PCSX2 r5544 GSdx r5542 SPU2-X r5545 scph70000 Core i7 2600 (3.4GHz) 4G Radeon HD 6850 7 Home 64bit ■動作速度・不具合の有無 モンスターブックでモンスターのグラフィックが正常に表示されない →ゲーム修正の EE timing hack を有効にする 安眠所2階に行くと画面にヤンガスとメダル王しか表示されなくなり、ヤンガスが落下していく →画面が変わってすぐならメダル王に話しかけられる。外に出るにはメニューコマンドの「いどう」を使う ドラゴンボールZ3 (動作状況 ○) PCSX2 r1474 GSdx r1650 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 序盤だけ確認。常時60FPS Gsdx10Hard(1600x1600) ■備考 スピハク、アドバンスデフォ設定 グラフィックなども特に不具合無し。◎でもいいかも ドラゴンボールZ Sparking! (動作状況 ○) PCSX2 r1474 GSdx r1650 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 序盤だけ確認。常時60FPS Gsdx10Hard(1600x1600) ■備考 スピハク、アドバンスデフォ設定 ドラゴンボールZ Sparking! NEO (動作状況:○) pcsx2-097 r4010 GSdx-3955 SPU2-r3993 140- Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 direct3d10(hardware),nativeにチェックで常時60fps。nativeにチェック入れないと平均20fps下がった ■備考 序盤だけ確認 スピハク、アドバンスデフォ設定 ドラゴンボールZ Sparking! METEOR(動作状況 ○) PCSX2 0.9.5 384x3 GSdx 0.1.4svn720 Mod ZeroSPU2 0.4.6 SCPH-70000 C2D E4400@3Ghz DDR2 800 3GB GeForce8800GT@512MB XP SP2 ■動作速度・不具合の有無 ヒストリークリア確認 一部メニュー等重い部分はあり(40-60) スペック的に足りてる部分では、音声 グラフィック共に特に問題なし。 戦闘時で同時に音声が入る場合スペック不足らしく音声がエコーになるただし戦闘そのものは60付近で動作するので影響がない。 メッセージを表示している時に落ちる場合がある。 メモカの初回データ作成以降、セーブ時にセーブ完了とは出ますが上書きが出来てないらしく実質意味がないので注意。 ■備考 スピハクは1と4ぐらいで十分かと思います。 グラのプラグインはZeroGSだと重すぎるのと、GSdxは0.1.7 0.1.8 0.1.9の7xxの一部と8xxで確認しましたが、地面が緑の戦場は真っ黒になるので0.1.4を推奨 (動作状況 ○) PCSX2 0.97 rev3878 GSdx3693、SSE41 SPU2-X 1.4.0 SCPH-70000JP Intel(R) Core(TM) i7-860 DDR3 2GB×2 GTS250 Windows7 64bit ■動作速度・不具合の有無 GSDXのresolutionがnativeじゃないとキャラ周りの輪郭が不自然に表示される ■備考 特になし (動作状況 ○) PCSX2 1.2.1 r5875 GSdx0.1.16 SSE41 SPU2-X r5830 2.0.0 cdvdGigaherz0.9.0 Pentium G860 DDR3 2GB×2 GTX550ti WindowsXP SP3 ■動作速度・不具合の有無 ディスクアクセス時に一時的に音がおかしくなる事がある(ISOでは未プレイ) 背景や体力バー付近の描画がややおかしくなる事がある(プレイには影響ないレベル) 速度は遊んだ限り問題なし。非常に快適。 ■備考 速度も全く問題なくグラフィックもかなり綺麗。 adapter Defalt hardware device, Renderer Hardware, Enable FXAA ON, Interlace Weave-bff, D3D Internal res 2xNative, TextureFiltering ON, LogarithmicZ ON, Alpha correction ON, 異方性フィルタリングx4, スピハクデフォ設定 投稿:2015/06/16 ドラマティックサッカーゲーム日本代表選手になろう!(動作状況 〇) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 二話 韓国戦終了まで動作確認 確認した範囲で不具合無し 6xNativeで60fps動作 ■備考 2020/4/25 pcsx2-v1.7.2622 Direct3D12 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 1話終了までチェック ■備考 投稿 2022/04/17 トランスフォーマー(動作状況 △) PCSX2 0.9.5svn377x3 GSdx1.8svn771 Zero SPU2 0.4.6 SCPH-50000J C2D E4400 2Ghz@3Ghz DDR2-800 2GB Geforce8800GT 512MB XP Pro SP2 ■動作速度・不具合の有無 オートボット側ミッション1通過 Nativeで、落ち込む場合はあるものの60動作が可能になった 起動時のロゴ→OPは若干変な部分は歩けどZeroGS 0.97.1で見れる GSdxだと殆ど音声のみの真っ暗画面 ■備考 本体 SpeedHacksのチェックを1-4まですべて入れる 音源はどれでもいい気はしますが、プチプチノイズがどれも出る感じ 速度的にはZeroが早いかも トランスフォーマー(動作状況 ○) pcsx2-v1.5.0-dev-2194 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS デストロン側1ステージのみチェックだが、特に問題は無いようだ。 ■備考 こちらは1980年代のアニメ版。一応別に書き込み。 投稿:2017/09/11 トランスフォーマー THE GAME(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 ソフトウェアプラグインでほぼ常時60FPS HWだと光源処理がおかしいのとゴーストが発生する。気にしなければ6xNativeでも60FPS。 ミッション1のみクリア ■備考 こちらはハリウッド版のゲーム化。 投稿 2020/07/28 とらかぷっ!だーっしゅ!! でらっくすぱっく(動作状況:○) pcsx2-r1042 wxgui GSdx SSE2-r908 SPU2-X-1.1 Linuzappz CDVD 0.8.0 Intel(R) Pentium(R)4 CPU 2.80GHz mem 8MBx2 Geforce FX5200 WindowsXP SP3 ■動作速度・不具合の有無 ノベルパートは40fps前後、戦闘パートは25fps前後で動作。 OPムービーは30fps程度。 ZeroGSは動作が重く、プレイは困難。 トリガーハート エグゼリカ エンハンスド(動作状況 ○) PCSX2 0.96 ZeroGS 0.97.1 SPU2-X 1.1.0 Linuz ISO CDDVD 0.8.0 C2D E8400 3.00GHz mem 2GB GeForce GTS250 Windows XP SP2 PCSX2 0.97 r-3713 GSdx r-3693 SSSE3 SPU2-X r-3702 cdvdGigaherz r-3429 C2Q Q6600 2.88GHz mem 4GB GeForce GTS250 Windows 7 リミットかければ60fpsで動作(一部不安定な箇所あり 58~60fps) ストーリーモードの会話などで一部画像表示がおかしかった→Nativeでほぼ改善するが、右側1~2pxの表示がバギー ■備考 OP60fpsで完璧に見れた トリノホシ~Aerial Planet~(動作状況 ◎) pcsx2 0.9.8.4582 GSdx4576 0.1.16 SPU2-X r4574 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 必須クエスト「渡り鳥友好の泣き声録音」完了まで動作確認 概ね60fpsで快適動作 ■備考 投稿:2011/04/25 ドリフトナイツ juiced2(動作状況 〇) PCSX2 1.7.5617 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 軽く動作確認 オープニングムービーで音が一瞬止まったり fpsの低下が見受けられる(DVD起動も試してみたが改善せず) ゲーム中は 3Xnativeで常時60fps ■備考 2024/3/16 トルネコの大冒険3 (動作状況 ○) pcsx2 0.96 GSdx 890 SPU2-X 1.1.0 Linuzappz CDVD 0.8.0 SCPH-77000 Core i3 530 定格 DDR3 1600 8GBx2 GTS250 Windows7 x64 ■動作速度・不具合の有無 ACGではないので基本的に60~50FPSで問題なく遊べるが水フロアや浮遊フロアでは著しくFPSが低下した ■備考 スペックのせいかもしれないがハードウェアレンダリングじゃ話にならなかったのでDirect3D(Hardware)からDirect3D(Software)に変え、このCPUの場合3スレッド割り当てるとOPも60前後を維持できた Core i7クラスだともしかしたら定格でも水フロアいけるかも (動作状況 ◎) pcsx2 1.0.0 GSdx r5334 SPU2-X r5324 SCPH-70000 Core i7 3770K(3.5GHz) DDR3-1600 16GB GTX680 Windows7 64bit ■動作速度・不具合の有無 ダンジョンに潜る際のエフェクトが発生するとき以外、60FPSを維持し続けています。 ■備考 設定 -Direct3D11(Hard) -Native x4 スピードハックはEEサイクルレートのみ2で動かしています。(なんとなく)MTVUにもチェックしています。(なんとなく) PCSX2 1.0.0 r5350 GSdx r5334 SPU2-X r-5324 2.0.0 Linuz Iso CDVD 0.9.0 Celeron G530 (2.40GHz) 8GB Radeon HD7750 Windows7 64bit ■動作速度・不具合の有無 町中等一部でfps低下 ダンジョン内はモンハスや味方が増えた場合以外は基本60で動作 ■備考 今度はソフトウェアレンダリングだと話にならないのでハードウェアレンダリングで検証 浮遊フロアも水フロアもほぼ気にならなかったのでかなり快適にプレイ出来た CPUスペック上げるよりもDirectX11対応のグラボ積むほうが安上がりだと思われる(2015/07/25) トレインシミュレーター&電車でGO!東京急行編 (動作状況:○) pcsx2 0.9.8(r4600) GSdx-4600 SPU2-r4600m 1.4.0 LinuzIso CDVD 0.9.0 Intel core i7-2400K DDR3 8GB Radeon HD 6700 Windows 7 64bit ■動作速度・不具合の有無 60fpsで動作します ただ、GSのRandererをHardwareにすると運転する際に運転台のみ表示されて、そのほかは真っ暗になります 他は特に問題なく動きます (動作状況 ○) pcsx2-v1.5.0-dev-2426 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)でほぼ常時60FPS。 特に問題なく動作するようだ。最初の駅停車までしかプレイしていないが。 ■備考 投稿 2018/06/29 どろろ (動作状況:○) pcsx2-097 r4010 GSdx-3955 SPU2-r3993 140- Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 ハードレンダでほぼ50FPSで快適 第4章 三郎太戦で先に進めない不具合あり バージョン PCSX2.096. グラフィック GSDX890.0114にしたら三郎太戦、不具合 なし チュートリアル・序章(モノクロ画面)はソフトレンダ(FPS50前後ぐらい)。(ver.pcsx1.2.1) 第1章よりカラー表示(左目獲得後)になるのでハードレンダへ切り替えれば60FPS前後で快適に動作する。 ※プレイ中に一瞬止まるような挙動を見せるが、プレイ自体に支障はない 桃華月憚-光風の陵王-(動作状況 〇) PCSX2 1.7.5067 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 冒頭部(行き先を選択するところ)のみ動作確認 確認した範囲で問題なし 3Xnativeで常時60fps ■備考 2023/10/1 東京バス案内2(動作状況 ○) pcsx2-v1.5.0-dev-3188 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceRTX2080Ti 11GB Win10 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS チュートリアルいくつかとドライバーモードを軽くチェックのみ ■備考 実機未チェックだがアクセル、ブレーキがアナログ入力でないと急発進したり急停止するので苦労する。 投稿 2019/07/10 東京魔人學園外法帖血風録(動作状況 〇) PCSX2 1.7.0-dev-475 GSdx 1.2.0(20201021173433) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 第壱話終了まで動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2020/11/1 突撃!アーミーマン 史上最小の作戦(動作状況 〇) PCSX2 1.7.3223 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 Mission1シン・グリーン・ラインクリアまで動作確認 確認した範囲で問題なし Direct3D11 3Xnativeで常時60fps動作 ■備考 2022/9/12 怒首領蜂 大往生(動作状況 ○) pcsx2 rev208 VM(0.94) Gsdx9 10-? ZeroSPU2 0.4.4 C2D E6600(定格) DDR2 2GB Geforce 7950GT@定格 Vista-32bit Ultimate ■動作速度・不具合の有無 10-2・・・敵と弾が多いと40fps程度まで落ちる 10-7・・・どの場面でもほぼ60fpsで推移。緋蜂発狂も余裕 ■備考 大往生は、あとちょっとで直りそう ZeroGSだとどれもこれも重過ぎでだめなんで、GSdx9に期待 D3D internal resをNativeにチェックすれば本当にほとんど直るね 2015年3月現在のCPUであればfpsは問題は無いが、SPU2-X r5830 2.0.0では音が出ない。ZeroSUP2を使用のこと。 智代アフター ~It's a Wonderful Life~(動作状況 〇) PCSX2 1.7.0-dev-1055 GSdx 1.2.0(20210309161558) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 ゲーム中の7/7開始まで動作確認 確認した範囲では問題なし 6XNativeで常時60fps動作 ■備考 2021/3/22
https://w.atwiki.jp/wiki-inspiron/pages/156.html
eSATAを増設したい。 Inspiron 531で動作確認 eSATA PCI Express ボード 「REX-PE30S」 http //www.ratocsystems.com/products/subpage/pe30s.html (メーカーサイト) 外部にeSATAポート1、ケース内部にSATA 1ポートの増設が可能 ポートマルチプライヤ、ロープロ対応、ロープロ用のブラケット付属 Vistaはボードを挿してPCを起動すれば自動で認識、ドライバも自動で入った。 特に複雑な設定や、CR-Rからのドライバのインストールなどは不要 Inspiron 531で動作確認 PC連動電源機能搭載2BAY USB2.0/eSATA接続 3.5インチSATAハードディスクケース 「CG-HDC2EU3100」 http //corega.jp/prod/hdc2eu3100/ (メーカーサイト) 写真だと分かりにくいが本体はプラスチック製、両側面はアルミ製のカバー REX-PE30SからeSATA接続、コレガのケース内にWDの320GB SATA 7200rpmを入れた。 内蔵HGST HDS721010CLA332からeSATA接続のCG-HDC2EU3100に2GB程度のデータ移動、 大体120MB/秒程度の速度でデータのコピーが出来るようになった。 WESTERN DIGITAL WD20EARS (2TB SATA300)も動作確認、シングルモードで2TB認識、フォーマットもOK(54%で止まったりもしなかった) 外付けになるが、eSATAなら内蔵時と速度はほぼ変わらない。 HDDのフォーマット(クイックではない)の速度も速い。 コレガ CG-HDC2EU3100の付属品はSATAをeSATAに変換するケーブル、eSATAケーブル、USBケーブル、HDD固定用のインチネジも付いてくる。 (私の531はDVDドライブ2台、HDD2台でSATAに空きポートがなかったので上記のボードでeSATAを増設した。) おまけ程度のFANがケース背面にあるが、ケース内に熱が篭り中のHDDが壊れたとの価格の口コミがあるので、設置場所には注意が必要
https://w.atwiki.jp/dmori/pages/37.html
classを使う概要 動作確認 テストコード classを使う 概要 SystemVerilogのクラスをつかうサンプルです。 勉強用。 動作確認 ツール バージョン 結果 ncverilog 06.11-s004 OK VCS-MX 未確認 ModelSim 未確認 テストコード mapsクラスを2個生成し、値を代入します。 それぞれ個別に動作していることを確認。 program test1; class maps; local int hoge[]; local int bar []; local int size_x; local int size_y; function new (int x,int y); this.size_x = x; this.size_y = y; this.hoge = new[x*y]; this.bar = new[x*y]; endfunction function int get_hoge(int x,int y); get_hoge = hoge[y*size_x+x]; endfunction function void set_hoge(int x,int y,int val); hoge[y*size_x+x]=val; endfunction endclass /////////////////////////////////// initial begin maps tr; maps tr2; int a; tr = new(5,3); tr2= new(10,10); tr.set_hoge(0,0, 5); tr.set_hoge(1,0,10); tr.set_hoge(2,0,13); tr2.set_hoge(0,6, 5); tr2.set_hoge(1,6,10); tr2.set_hoge(2,6,13); for(int i = 0;i =2 ; i++)begin a = tr.get_hoge(i,0); $display("a=%0d i=%0d",a,i); end //tr.hoge[1]=2; for(int y = 0;y 3 ; y++)begin for(int x = 0;x 5 ; x++)begin a = tr.get_hoge(x,y); $display("tr[%0d,%0d]=%0d ",x,y,a); end end for(int y = 0;y 10 ; y++)begin for(int x = 0;x 10 ; x++)begin a = tr2.get_hoge(x,y); $display("tr2[%0d,%0d]=%0d ",x,y,a); end end endendprogram 名前
https://w.atwiki.jp/emups2/pages/55.html
PS2ソフト動作報告 - け Genji(動作状況 ○) Get Ride! アムドライバー 相克の真実(動作状況 〇) K-1 WORLD GP 2006(動作状況:○) ゲームになったよ!ドクロちゃん ~健康診断大作戦~(動作状況 ○) ゲゲゲの鬼太郎 異聞妖怪奇譚(動作状況 ○) ゲッタウェイ(動作状況 ○) ゲッタウェイ ブラックマンデー(動作状況 ○) ゲットバス バトル(動作状況 ○) ゲットバッカーズ奪還屋 奪われた無限城(動作状況 〇) ゲットバッカーズ奪還屋 ~裏新宿最強バトル~(動作状況 〇) ゲットバッカーズ奪還屋 奪還だヨ!全員集合!!(動作状況 〇) ケロロ軍曹 メロメロバトルロイヤル (動作状況 ○) ケロロ軍曹 メロメロバトルロイヤルZ (動作状況 ○) げんしのことば(動作状況 ○) 経営シミュレーション ジュラシックパーク(動作状況:△) 劇空間プロ野球 AT THE END OF THE CENTURY 1999(動作状況 ○) 激写ボーイ2~特ダネ大国ニッポン~(動作状況 〇) 激闘プロ野球 水島新司オールスターズvsプロ野球(動作状況:◎) 決戦(動作状況 ○) 決戦II(動作状況 〇) 決戦Ⅲ(動作状況 ○) 月面兎兵器ミーナ -ふたつのPROJECT M- (動作状況 ○) 喧嘩番長(動作状況:○) 喧嘩番長2 ~フルスロットル~(動作状況:○) 剣豪 (動作状況 ○) 剣豪2 (動作状況 ○) 剣豪3(動作状況 ○) 建設重機喧嘩バトル ぶちギレ金剛!!(動作状況 ○) 幻想水滸伝III (動作状況 ○) 幻想水滸伝Ⅳ(動作状況:〇) 幻想水滸伝V(ス)(動作状況 ○) 絢爛舞踏祭(動作状況 〇) Genji(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS 起動確認程度 ■備考 投稿 2021/11/02 Get Ride! アムドライバー 相克の真実(動作状況 〇) PCSX2 1.7.5201 Direct3D12 iso起動 SCPH-50000 RYZEN7 7700X DDR5 PC5-41600 16GBx2 Geforce RTX4070ti Windows11pro ■動作速度・不具合の有無 起動確認程度の動作確認 オープニングムービーの下部の表示が乱れる レンダラーをsoftにすると正常に表示される 上記以外は確認した範囲で問題なし ゲーム中3Xnativeで常時60fps ■備考 2023/11/11 K-1 WORLD GP 2006(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 ゲームになったよ!ドクロちゃん ~健康診断大作戦~(動作状況 ○) pcsx2-v1.7.0-dev-487 GSdx32-avx2 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 OpenGLで60FPS 1つ前のバージョンで起動用修正パッチが入ったので普通に起動する。 とりあえずタイトル画面まで確認。 ■備考 最近のverでサウンドプラグインとCDVDプラグインがPCSX2に内蔵されたので項目を変更 PSI氏による パッチの解説 投稿 2020/10/28 ゲゲゲの鬼太郎 異聞妖怪奇譚(動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeでほぼ常時60FPS。ムービーがHDDの場合一瞬引っかかるかもしれないのでISOはSSDドライブ推奨。 第1話クリアまで確認。一応問題のあったところ(3D移動マップ)も通過可能だが特定箇所でハングアップする可能性もある。ただしその箇所を無視しても以前何も起きなかったところで通過可能イベントが起きたので未確認。 ■備考 ゲーム自体音声スキップ不可とか3Dマップ移動が遅いので細かい検証はしにくい。 投稿:2018/10/26 ゲッタウェイ(動作状況 ○) PCSX2 r3538 GGSdx 3536 SSE4 SPU-2 r3532 SCPH-15000 C2D E8500 DDR2-800 1Gx4 GeForce8800GT WindowsVista32bit SP2 ■動作速度・不具合の有無 ハードレンダ+hackをフルに使用すれば基本的に常時60fpsで進行可能 ■備考 クリア確認 車両のエンブレムの文字が読めるほど綺麗な画面 pcsx2-v1.7.2618 Software ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 50~60FPS。ハードレンダだとムービーが重く42~60FPS 起動確認程度 ■備考 投稿 2022/04/16 ゲッタウェイ ブラックマンデー(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS ゲームオーバー時などで画面が化ける 起動確認程度 ■備考 投稿 2021/11/18 ゲットバス バトル(動作状況 ○) pcsx2 0.9.9.4671 GSdx4706 0.1.16 SPU2-X r4701 1.4.0 LinuzIso CDVD 0.9.0 C2D E8500@定格 DDR2 1GBx2 Geforce GTX560Ti win7 ult 32bit ■動作速度・不具合の有無 トレーニング・フリーフィッシング・トーナメント・VS CPUなどを少しずつ遊ぶ トータル:8/重さ 16911g アベレージ:2113g 概ね60fpsで快適動作 (VS CPUでポリゴンCPUキャラ等常時に45fpsくらいに低下するが支障ない範囲) ■備考 投稿:2011/6/7 ゲットバッカーズ奪還屋 奪われた無限城(動作状況 〇) PCSX2 1.7.3223 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 冒頭部~数戦闘で軽く動作確認 確認した範囲で問題なし Direct3D11 3Xnativeで常時60fps動作 ■備考 2022/9/12 ゲットバッカーズ奪還屋 ~裏新宿最強バトル~(動作状況 〇) PCSX2 1.7.2344 Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 蛮選択でstage1-1クリアまで動作確認 確認した範囲で問題なし 3Xnativeで常時60fps動作 ■備考 2022/2/9 ゲットバッカーズ奪還屋 奪還だヨ!全員集合!!(動作状況 〇) PCSX2 1.7.0-dev-762 GSdx 1.2.0(20201218004833) iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 シナリオモード 奪還依頼ファイル#01クリアまで動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2021/1/1 ケロロ軍曹 メロメロバトルロイヤル (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(SW)、DirectX11(SW)でほぼ常時60FPS。HWだとテキストが表示されない。 HWでもNativeならテキスト表示可能な設定があるが2x以上にするとテキストがまともに表示されないのでSWで 1戦のみのチェック ■備考 投稿 2018/08/24 ケロロ軍曹 メロメロバトルロイヤルZ (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(SW)でほぼ常時60FPS。 HWではテキストが表示されないか化ける。 ■備考 投稿 2018/08/26 げんしのことば(動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeで常時60FPS。Native解像度が320x224とPS1クラスなので8xNativeでもよさげ。 1章のみチェック。 ■備考 投稿 2018/10/25 pcsx2-v1.7.5449 Direct3D12 ISO起動 SCPH-70000 Intel N95 8GBx1 UHD Graphics Windows11 ■動作速度・不具合の有無 2xNativeでほぼGame 60FPS Video 60FPS(100%) たまに重い処理でFPSが下がるが支障はない エンディングまで確認 ■備考 投稿 2024/01/20 経営シミュレーション ジュラシックパーク(動作状況:△) PCSX2 1.2.1 r5875 GSdx AVX r5875 SPU2-X r-5830 cdvdGigaherz 0.9.0 Core(TM)i5-4590T 2.00-3.00GHz DDR3-1600 4GBx2 GeForceGTX750 Windows7 Professional ■動作速度・不具合の有無 起動や操作自体は特に問題なさそう アイコンやマーク等の一枚絵がモザイクまみれ、恐竜のポリゴンが真っ黒、構造物が雪像状態、植物が一切写らないなど、画像処理に深刻な問題あり ■備考 上記の症状はハードウェア描画でのもの。ソフトウェア描画では一枚絵や植物が写ったり恐竜に色が付いたりするものの、今度はポリゴンがモザイクまみれになるため、やはり見るに耐えない状態。 15/7/7現在最新の開発ver(v1.3.1-661)で試したがなんら変わりなかった 劇空間プロ野球 AT THE END OF THE CENTURY 1999(動作状況 ○) pcsx2-v1.7.3495 Direct3D12 ISO起動 SCPH-77000 Intel Core i7-9750H @2.60GHz DDR4 8GBx2 GeForce GTX 1660Ti Win10 64bit ■動作速度・不具合の有無 1試合プレイ。プレイ自体は可能。 6xNativeで60fpsを維持。 試合中、選手や審判の体の輪郭が二重に見える。GraphicsのRound SpriteをFullにすると少し緩和されるが、完全ではない。 進行できない等のシステム上の不具合は今のところ見受けられない。 ■備考 投稿:2022/10/30 激写ボーイ2~特ダネ大国ニッポン~(動作状況 〇) PCSX2 1.7.0-dev-1420 GSdx Direct3D11 iso起動 SCPH-50000 RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 ギンザ歩行者天国EASYでクリアの動作確認 確認した範囲で問題なし D3D 6×Nativeで60fps動作 ■備考 2021/7/4 激闘プロ野球 水島新司オールスターズvsプロ野球(動作状況:◎) pcsx2 1.5.0 dev3002 GSdx1.1.0(20190308193328) SPU2-X 2.0.0 cdvdGigaherz0.11.0 Ci3 7100@3.9Ghz DDR4 16GBx2 Geforce GTX950 win10 pro 64bit ■動作速度・不具合の有無 オープン戦 巨人vs阪神 水島キャラ使用(山田 岩鬼 坂田三吉 真田一球 藤村甲子園 水原勇気) ペナント 阪神-ベイスターズ それぞれ1試合プレイ D3D(HW) Native×6で快適動作 確認した範囲で不具合も見当たらず ■備考 投稿 2019/04/03 決戦(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 DirectX11(HW)、6xNativeで60fps。SWかNativeの場合下記設定は不要 ゲームフィックスでFMVのみソフトウェアにチェック GSdx設定でEnable HW HacksにチェックしてAlpha StencilとAuto Flushにチェック、RoundをHalfにする チュートリアルで軽くチェックのみ ■備考 v1.7.0ではゲームフィックスでFMVのみソフトウェアにチェックがなくなっているのでSWかNativeで タイトル画面が正常に表示されるバージョンならほぼ問題なく動作。 PC環境がかなり違うがv0.9.8くらいで昔クリアはしている。が、具体的なバージョンは忘れたので参考程度に。 pcsx2-v1.0.0では正常に表示されないので見比べてみれば分かる。 投稿:2021/05/22 決戦II(動作状況 〇) PCSX2 1.5.0dev3400 GSdx 20200327183028 SPU2-X 2.0.0(20200327183028) cdvdGigaherz 0.11.0(20200327183028) RYZEN5 3600X DDR4 PC4-25600 8GBx2 Geforce GTX1660ti Windows10 64bit ■動作速度・不具合の有無 チュートリアル(徐州の戦い)終了まで動作確認 関羽のヒゲなど表示が乱れる D3D(HW)/(SW)切り替えるも改善せず プレイには影響の無い範囲 6xNativeで60fps動作 ■備考 2020/4/29 pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Win10pro 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeで50~60FPS。MTVUにもチェック ゲームインデックスに登録されているパッチが間違っているので修正する必要がある。パッチの所に追加している。 チュートリアルだけチェック。 ■備考 速度低下に関しての説明はWin10の一部環境で起こるゲーム速度低下に引っかかっていたので削除した 投稿 2021/07/04 (動作状況 ◎) PCSX2 1.6.0 GSdx 1.2.0 SPU2-X 2.0.0 ISO起動 i5-11400 CPU @ 2.60GHz DDR4 16GB Geforce GTX 1660 Super Windows 10 64bit ■動作速度・不具合の有無 3xNativeで60fps 劉備編クリア ゲームインデックスのパッチをWikiのパッチのページに従い修正しないとポリゴン描画に異常あり Direct3D(HW)だと妖術発動時に黒い線が出る。OpenGL(HW)なら問題なし。 ■備考 投稿 2021/07/08 決戦Ⅲ(動作状況 ○) PCSX2 0.94 GSdx1.0.10.9 P.E.Op.S. SPU2 1.9.0 Linuzappz CDVD 0.7.0 SCPH-50000 Athlon64×2 5600+(定格) DDR2 800 1GBx2 Geforce7900GS 256MB WindowsXP SP2 ■動作速度・不具合の有無 戦闘画面でも50FPS以上。かなり軽い。 ZeroGSだと戦闘画面の右上のマップが表示されない。 GSdxだとイベントシーンが正常に表示されない。 ■備考 月面兎兵器ミーナ -ふたつのPROJECT M- (動作状況 ○) pcsx2-v1.5.0-dev-2501 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、4xNativeでほぼ常時60FPS。 最初の戦闘まで確認 ■備考 投稿 2018/08/26 喧嘩番長(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 喧嘩番長2 ~フルスロットル~(動作状況:○) pscx2-r1400 GSdx-r1406 SPU2-X-r1390 Linuzappz ISO CDDVD 0.8.0 C2D E6850 3.00GHz DDR2 4GB GeForce 9800 WindowsVista 64bit ■動作速度・不具合の有無 剣豪 (動作状況 ○) pcsx2-v1.5.0-dev-2229 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6850K @4.0GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 DirectX11(HW)、4xNativeでほぼ常時60FPS。 軽くチェックしたのみだが、問題はなさそう。 ■備考 投稿:2017/11/28 剣豪2 (動作状況 ○) pcsx2-v1.5.0-dev-2640 GSdx32-avx2 SPU2-x cdvdGigaherz SCPH-70000 core i7 6950X @3.8GHz PC4-17000 16GBx4 GeforceGTX1080 8GB Win10 64bit ■動作速度・不具合の有無 OpenGL(HW)、6xNativeでほぼ常時60FPS。テキストが読みにくいのと画質向上が微妙なのでSWでいいかも。 若干の表示不具合があるがプレイに影響はない。 序盤のみのチェック ■備考 投稿 2018/10/26 剣豪3(動作状況 ○) pcsx2-v1.6.0 GSdx32-avx2 SPU2-x ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 DirectX(HW)、6xNativeで60FPS とりあえずの設定だが、HW Hacks内のDisable DEPTH Emulationにチェック(テキスト表示一応改善)、RoundをHalf(テキストのゴミ消去)に 序盤のみチェック ■備考 とりあえずv1.7.4400付近だとHW・SWにかかわらずテクスチャが張られないのかキャラが黒くなったりする。 投稿 2023/04/21 建設重機喧嘩バトル ぶちギレ金剛!!(動作状況 ○) PCSX2 0.9.7.3795 GSdx 3693(0.1.16) SPU2-X r3762 1.4.0 Linuz Iso CDVD 0.9.0 C2D E8500@定格 DDR2 1Gx2 GeForce9800GTX+ Win7 ult 32bit ■動作速度・不具合の有無 デフォルト設定だと、音は出るが、画面出ない GameFixesで「Skip MPEG hack(以下略)」にチェックで起動 但し、タイトル画面で文字が正常表示されず。 目押し(?)でシナリオモード開始「壇乃浦」「珠乃丞」勝利確認 重機バトルは50-40fps 多少のもっさり感 ADVパートは60fps セーブする/しないの文字が表示されないが、音を頼りに目押し可能と思われる 総合的に判断して「遊べるレベルにはある」と思う 文字表示の問題は設定で何とかなるかも知れないが、深くは追求しません(^^; 時間がある方のフォロー求む(^^; ■備考 投稿:2010/09/26 pcsx2-v1.5.0-dev-2156でOpenGL(SW)で画面表示が正常になっている。DirectXでは画面が真っ黒 ムービーも序盤チェックしただけだが止まることもなく再生可能。 補足:2017/08/30 幻想水滸伝III (動作状況 ○) PCSX2 r1474 GSdx r1650 SPU2-X-r1517 SCPH-39000(JP) C2D E8500@3.16Ghz DDR2-800 4GB Geforce GTS250 Win7RC Ultimate ■動作速度・不具合の有無 序盤だけ確認。場面によってFPS40-60変動 とにかく安定しないが設定次第で治せる(後述) Gsdx10Hard(1600x1600) ■備考 FPSが50前後になる場面で、解像度をNative,1024,1600と変えたが変化無し(CPU依存?) Use x1.5 Cyde Rate, INTC Sync Hack, VU Cyde Stealing(左から二つ移動)、アドバンスはデフォ 上記のスピハク設定で60FPS固定するが、微妙にカクつく ヤザ平原からビュッデヒュッケ城へ行こうとすると必ずフリーズ。r4915現在クリア不可。 PCSX2 1.4.0 GSdx32-ss2 SPU2-X-2.0.0 cDVDGigaherz 0.9.0 Corei7 960 @3.20GHz DDR3 12GB Geforce GTX760 Windows7 Ultimate 64bit ■動作速度・不具合の有無 クリア確認 街のオブジェクトが多い区画や高負荷な魔法 FPS40-50 回想シーンでは表示バグが発生して処理落ち FPS30-40 クリス編二章のイクセ村でVU Cycle Stealingをいじっていると進行不能になる ふるい本を渡した際、選択画面からすぐに戻ると進行不能になる(すべての本を読んでから戻れば問題なし) ■備考 INTC Spin Detection、Wait Loop Detection、mVU Flag Hack有効化 上記進行不能箇所以外はVU Cycle Stealing2 幻想水滸伝Ⅳ(動作状況:〇) pcsx2-097 r4031 GSdx-4031 SPU2-r3993 140 Linuzappz ISO CDDVD 0.9.0 intel core i3 540 DDR3 4GB GeForce GT 240 Windows 7 32bit ■動作速度・不具合の有無 スタート画面NEWGAMEは選べるが、コンテニューを選ぶとブラックアウト 序盤だけ確認。場面によってFPS 30-60 人が多い場所は fps30前後 ムービーでも強制終了しない PCSX2 1.4.0 GSdx32-ss2 SPU2-X-2.0.0 cDVDGigaherz 0.9.0 Corei7 960 @3.20GHz DDR3 12GB Geforce GTX760 Windows7 Ultimate 64bit ■動作速度・不具合の有無 クリア確認 ムービー中にちらつきがある 人が多い場所、大人数の戦闘、一部のイベント FPS40-50 ■備考 INTC Spin Detection、Wait Loop Detection、mVU Flag Hack有効化 VU Cycle Stealing0 幻想水滸伝V(ス)(動作状況 ○) PCSX2 0.97r2186legacy GSdx2430 SPU2-X r2393 1.30 SCPH-70000J C2D E8500 3.0Ghz PC6400 8GB GeforceGTX260 896MB Windows7 ■動作速度・不具合の有無 平均FPS常時60 ~上記内容でクリア確認~ しかしムービーが入るとほぼ確実に止まるためその場合は下記参照で回避可能↓ 1・ゲーム起動後Escで一旦抜けてその他の一番上を選ぶ 2・下から二番目のSkip MPEGを押し出てきた項目にチェックしYESにする 3・これでムービーを飛ばしてラストまでエンジョイしますたd(・∀・) 絢爛舞踏祭(動作状況 〇) pcsx2-v1.5.0-dev-2871-ge0877608a core2quad Q6700 DDR2 6GB GT730 WIN10pro(x64) ■動作速度・不具合の有無 DX11で試用、序盤のみと主人公不在の日で動作確認 (動作状況:〇) 画面暗転は改善されていますが、まだフィルターの再現に難あり。 ただチートコードのデバッグで「フィルターOFF」を併用すれば問題なし。 若干動作が重いがフレームスキップで改善されるため十分遊べるレベルにはある ■備考 当方所有のPCが古いため重いのですが、もしかすると現行主力のCPUと そこそこの性能のGPUがあれば、もっと快適かもしれません 投稿:2019/02/06 グレートエンディング確認、件のフィルター以外完全動作を確認 先の報告者様のコメントを下に下げ、動作状況を〇に修正します 追加報告 2019/02/17 pcsx2-v1.7.2622 Direct3D12 ISO起動 SCPH-70000 core i9 10980XE @4.3GHz PC4-25600 32GBx4 GeforceRTX2080Ti 11GB Windows11pro ■動作速度・不具合の有無 6xNativeで60FPS 最初の戦闘までチェック。プレイに支障のある不具合はない ■備考 投稿 2022/04/17 |PCSX2 r-4600 C2D E8400@定格 DDR2 2Gx2 GeForce7900GS WinXP 32bit ■動作速度・不具合の有無 デフォルト設定だと、音は出るが、画面出ない EE/IOPのClamping ModeをFullGameFixesでキャラ&背景が出る ■備考 投稿:2011/09/19
https://w.atwiki.jp/redcloud/pages/16.html
目次 目次 Ver 2.1.8 Windowsへ導入 インストール 動作確認 conventionプラグインの適用 リンク Ver 2.1.8 Windowsへ導入 インストール ダウンロードして展開するだけ 動作確認 Eclipseで動的Webプロジェクト作成 ※前提条件…JDK6 + Tomcat6でEclipse導入済みであること [ファイル]→[新規]→[動的Webプロジェクト] 全部デフォルトのまま完了させる META-INFフォルダを削除 ダウンロードアーカイブに含まれるサンプルアプリ struts-blank を解凍 C \local cd struts-2.1.8\apps C \local\struts-2.1.8\apps jar xvf struts2-blank-2.1.8.war 展開されたファイルを先ほどのEclipseプロジェクトフォルダにコピー exampleフォルダ → WebContentフォルダ下へ WEB-INFフォルダ → WebContentフォルダ下へ index.html → WebContentフォルダ下へ Eclipseのビルドパス修正 対象プロジェクトを右クリック→[プロパティ]→[Javaのビルドパス] ソースフォルダ修正 デフォルトフォルダ削除 WEB-INF/src/java フォルダ追加 デフォルト出力フォルダを WEB-INF/classes に修正 Eclipseからビルド・デプロイ ※前提条件…Tomcat6サーバープロジェクトが作成済みであること 対象サーバプロジェクトをサーバービューから右クリック→[プロジェクト追加および除去] サーバービューからTomcat起動 ブラウザで確認 http //localhost 8080/test_struts218 Struts is up and running ... という画面が出ればOK conventionプラグインの適用 TODO リンク TODO
https://w.atwiki.jp/wiki13_w42ca/pages/10.html
microSD動作報告 公式によると512MBまでならOKだそうです 1GBはあくまで自己責任で。 (注:お客様センターに聞いた所、512MB以下であっても取扱説明書、au公式HPに記載がないメーカーは動作確認が取れていない為OKとは言えない。使えない可能性もあるので自己責任でとの事) ■microSDカード動作確認一覧 動作OK A-DATA 512MB 1GB ADTEC AD-MRSD64(64MB) AD-MRSD128(128MB) AD-MRSD256(256MB) http //www.adtec.co.jp/products/AD-MRSD/index.html BUFFALO RMSD-128M(128MB) RMSD-256M(256MB) RMSD-512M(512MB) http //www.buffalo.jp/products/catalog/item/r/rmsd/index.html CFD販売 CUSD-1G(1GB) CUSD-2G(2GB) http //www.cfd.co.jp/flash/microsd.html I-O DATA SDMC-128M/A(128MB) SDMC-256M/A(256MB) SDMC-512M/A(512MB) http //www.iodata.jp/prod/pccard/sd/2006/sdmc/index.htm INX INX-MCSD256PN(256MB) http //www.inx-jp.co.jp/hardware/microsd/features.html Kingston SDC/256FE(256MB) SDC/512FE(512MB) SDC/1GBFE(1GB) SDC/2GBFE(2GB) http //www.kingston.com/japan/flashmemory/sd_micro.asp ELECOM MF-MRSD64(64MB) MF-MRSD128(128MB) MF-MRSD256(256MB) MF-MRSD512(512MB) MF-MRSD01G(1GB) http //www2.elecom.co.jp/data-media/microsd/mf-mrsd/index.asp GREEN HOUSE GH-SDMR64M(64MB) GH-SDMR128M(128MB) GH-SDMR256M(256MB) GH-SDMR512M(512MB) http //www.green-house.co.jp/products/memorycard/flash_memory/sdcr.html San Disk http //www.sandisk.co.jp/retail_tranceflashmicrosd.html 64MB SDSDQ-128-J60M(128MB) SDSDQ-256-J60M((256MB) SDSDQ-512-J60M(512MB) SDSDQ-1024-P60M(1GB) SDSDQ-1024-A10M(1GB) SDSDQ-2048-J60M(2GB) Transcend TS512MUSD(512MB) TS1GUSD(1GB) http //www.transcend.co.jp/Products/ModDetail.asp?ModNo=96 LangNo=17 UMAX 512MB 1G(60倍速) TwinMOS 256MB 東芝 512MB 1GB PQI http //www.pqi.com.tw/jp/product2.asp?oid=20 catE1=20 PROID=274 QMRSD-128(128MB) MRSDB2-1G(1GB) MRSDB2-2G(2GB) ATP http //www.atpinc.co.jp/products/80x_microsd.asp AF512UD(512MB) 上海問屋 http //www.rakuten.co.jp/donya/ DNF-TSD2048(2GB)
https://w.atwiki.jp/dmori/pages/70.html
$randomの罠概要 動作確認 テストコードcase defaultの発生 case -1の追加 $randomの罠 概要 テストシナリオを作成する時に、$randomを活用する。 で、はまりました。 動作確認 ツール バージョン 結果 NC-Verilog NCV_IUS6.11_s004 ○ VCS-MX VCS_2005.06-SP2 ○ ModelSim 未確認 Cver GPLCVER_2.12a of 05/16/07 ○ テストコード ランダム値を2で割って、そのあまりをcase文に使う。 case defaultの発生 module test; initial begin repeat(10)begin case($random % 2) 0 $display("case 0"); 1 $display("case 1"); default $display("case default"); endcase end end endmodule case 0 case default case default case default case 1 case 1 case default case 0 case 1 case 1 0,1のいずれかのみ発生・・・とおもいきや、defaultも発生しています。 case -1の追加 module test; initial begin repeat(10)begin case($random % 2) 0 $display("case 0"); 1 $display("case 1"); -1 $display("case -1"); default $display("case default"); endcase end end endmodule case 0 case -1 case -1 case -1 case 1 case 1 case -1 case 0 case 1 case 1 その正体は"-1"でした。 seedを変えていないので、"case default"の位置に"case -1"が表示されています。 また、defaultの発生もありません。 case文に直接記述した為、符号あり整数として処理され、負の余りは負の値になります。 あーなるほど。そういえばランダムシードも符合付きで負の値になったりしますよね。ベンダーに文句をいったら、仕方がないと言われました。 - ともすけ 2012-07-07 00 38 07 名前 link_pdfプラグインはご利用いただけなくなりました。 -
https://w.atwiki.jp/allaboutdryprinter/pages/35.html
・Windows環境 *Windows95詳しい方、記述をお願いします *Windows98/98SE詳しい方、記述をお願いします *WindowsMe詳しい方、記述をお願いします *Windows2000詳しい方、記述をお願いします *WindowsXP ---------------------------------------------------------------------- WindowsXP SP3で動作確認済み パラレルケーブル及び純正USBパラレル変換ケーブル(MDT-USBC) 共にAlps純正プリンタドライバVer2.3.2を使用 PC HP D530SFF(パラレルポート付き) CPU Cel2.2GHz Mem 512MB Chipset i865G [MDT-USBCの場合の設定] (MDT-USBCのドライバはOS純正でOK) ポート USB001(002でも003でも良いと思う。デフォルト設定) 説明 Virtual printer port for USB(=OS純正ドライバ) その他 双方向サポートを有効にする(デフォルト設定) ---------------------------------------------------------------------- *WindowsVista詳しい方、記述をお願いします *Windows7詳しい方、記述をお願いします ・Macintosh環境 *Mac OS7.6 詳しい方、記述をお願いします *Mac OS8詳しい方、記述をお願いします *Mac OS9ドライバ(機能拡張)のベンダーID・プロダクトID書き換えにより、エレコム・SANWAの双方向USBパラレル変換ケーブルが使用可。※ドライバv6.43で確認 5000以外での報告は聞かれないが、1xxx系でも認識している。 *Mac OS X v10.0(Cheetah)詳しい方、記述をお願いします *Mac OS X v10.1 (Puma)詳しい方、記述をお願いします *Mac OS X v10.2 (Jaguar)詳しい方、記述をお願いします *Mac OS X v10.3 (Panther)詳しい方、記述をお願いします *Mac OS X v10.4 (Tiger) ・PowerPC 詳しい方、記述をお願いします ・Intel 詳しい方、記述をお願いします *Mac OS X v10.5 (Leopard) ・PowerPC 詳しい方、記述をお願いします ・Intel 詳しい方、記述をお願いします*Mac OS X v10.6 (Snow Leopard)詳しい方、記述をお願いします