約 3,442,570 件
https://w.atwiki.jp/a8674101/pages/2.html
メニュー トップページ メニュー メニュー2 @ウィキ ガイド @wiki 便利ツール @wiki
https://w.atwiki.jp/forest-shinkan2008/pages/13.html
メニューページで#nomenu()プラグインはご利用できません。3カラムでなく、2カラムのデザインテンプレートをご利用ください。 メニューページで#nomenu2()プラグインはご利用できません。3カラムでなく、2カラムのデザインテンプレートをご利用ください。 FORESTへようこそ! 新入生のみなさん、もう大学生活には慣れましたか?? 私たちのサークル『筑波大学硬式テニス愛好会FOREST』の前期入会受付は終了してしまいました _ しかし、まだまだ後期入会があります!! 詳しくは9月半ばまでに一の矢のテニスコートまで気軽に聞きにきてください!! 後期入会手続きについて、詳細が決まり次第またお知らせします☆ また、新歓イベントはすべて終了しましたが、FORESTの活動について、知りたいことがあればここを参考にしてくださいね♪ お知らせ →練習・イベントに関しての情報 メニュー FORESTについて 活動内容 何か疑問・質問があれば、下のフォームから自由に書き込んでください♪ 名前 コメント ページ上部へ
https://w.atwiki.jp/jubeat/pages/2239.html
Explore Every Ave. jubeat Ave.のテーマ曲 同様に本機種のシステムBGMをプレイアブル化した楽曲としてはsaucer fulfillの「DANCE ALL NIGHT」、propの「prop the world」、Qubellの「Qubellic Prism」、clanの「Sulk」があり、本機種内では5曲目となる アーティストそのものに関しては「Megalara Garuda」を参照 jubeat史上初の譜面コンテストが開催され、よしの氏作成の「 誰もが世界の探究者 (リンク:jubeat Lab.)」が採用された 現時点でホールド譜面のみで通常譜面はない BASIC ADVANCED EXTREME HOLD LEVEL 3 6 9.4 HOLD Notes 174 398 702 BPM 135 Time Artist BEMANI Sound Team "SYUNN" ジャンル オリジナル Version Ave. この曲で手に入る称号 【全難易度クリア】jubeat Ave.を歩いてみよう! 【全難易度フルコンボ】人気で大渋滞 解禁方法 LIGHT CHAT(常設)でSMITHからのメッセージを解読すると解禁 (実質初回プレーで解禁) 動画 - 譜面動画 譜面動画 - BASIC BASIC - ADVANCED ADVANCED - EXTREME EXTREME 譜面動画 EXTREME (シャッター+ハンドクラップ) - プレー動画 プレー動画 攻略・解説 各譜面の攻略に関する情報はこちらへ。 [EXT]譜面製作者はLv10を想定しており、その後プレイヤー・スタッフからの評価で9.4になった模様。曲調からの予想通りタイミング難・運指難が多発しゴリ押しではスコアを伸ばしづらい。 -- 名無しさん (2022-08-12 09 57 44) ↑App版の仕様上、ノーツ数で自動的にレベルが割り振られてしまうので、想定は9台だったはず。理解すれば9.4相応に落ち着くが、そこに至るまでの聴き込みや研究量は他と一線を画す。少なくとも真ん中2列をどちらの手でも捌ける柔軟性が必要か。 -- 名無しさん (2022-08-12 15 03 53) [全譜面]全体的に判定が厳しめ(というより判定が揺れてる?)。拍の頭が抜けてリズムが取りづらいのもあるので、どのレベルも高スコアを狙うならパネル一つひとつを丁寧に押し込んでいくことがコツ。 -- 名無しさん (2022-09-08 17 55 31) 名前 コメント ※攻略の際は、文頭に[BSC] [ADV] [EXT] のいずれかを置くと、どの譜面に関する情報かが分かりやすいです。 ※体感難易度を書き記す際は、クリア難度・スコア難度のどちらかなのかを明記してください。 また、攻略と関係ない投稿・重複した内容は削除の対象になります 攻略とは無関係の話は該当する欄(情報交換&雑談) にてどうぞ。
https://w.atwiki.jp/forever_never_exists/
@wikiへようこそ ウィキはみんなで気軽にホームページ編集できるツールです。 このページは自由に編集することができます。 メールで送られてきたパスワードを用いてログインすることで、各種変更(サイト名、トップページ、メンバー管理、サイドページ、デザイン、ページ管理、等)することができます まずはこちらをご覧ください。 @wikiの基本操作 用途別のオススメ機能紹介 @wikiの設定/管理 分からないことは? @wiki ご利用ガイド よくある質問 無料で会員登録できるSNS内の@wiki助け合いコミュニティ @wiki更新情報 @wikiへお問い合わせ 等をご活用ください @wiki助け合いコミュニティの掲示板スレッド一覧 #atfb_bbs_list その他お勧めサービスについて フォーラム型の無料掲示板は@bbをご利用ください 2ch型の無料掲示板は@chsをご利用ください お絵かき掲示板は@paintをご利用ください その他の無料掲示板は@bbsをご利用ください 無料ブログ作成は@WORDをご利用ください 大容量1G、PHP/CGI、MySQL、FTPが使える無料ホームページは@PAGES おすすめ機能 気になるニュースをチェック 関連するブログ一覧を表示 その他にもいろいろな機能満載!! @wikiプラグイン @wiki便利ツール @wiki構文 @wikiプラグイン一覧 バグ・不具合を見つけたら? 要望がある場合は? お手数ですが、メールでお問い合わせください。
https://w.atwiki.jp/dmori/pages/12.html
Verilog HDL 1995 予約語(102) casex primitive repeat supply0 always casez event macromodule supply1 edge rnmos table else medium rpmos end initial rtran task and endcase inout module rtranif0 vectored input nand pull0 rtranif1 negedge pull1 scalared time cmos for pulldown wait assign endfunction force nmos pullup integer nor tran wand forever tranif0 weak0 begin fork not tranif1 weak1 endmodule join notif0 small while function notif1 tri endprimitive specify tri0 wire large or specparam tri1 highz0 output rcmos triand buf deassign highz1 real trior wor bufif0 default endspecify if realtime strong0 trireg xnor bufif1 defparam endtable parameter strong1 xor endtask ifnone pmos reg case disable posedge release verilog 2001 予約語(123) casex primitive repeat supply0 always casez event macromodule supply1 unsigned cell edge incdir rnmos table use else include medium rpmos end initial rtran task and endcase inout module rtranif0 vectored input nand pull0 rtranif1 negedge pull1 scalared time cmos endconfig for instance pulldown wait assign config endfunction force nmos pullup automatic endgenerate integer nor pulsestyle_ondetect tran wand forever noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin fork not signed tranif1 weak1 endmodule join notif0 small while function notif1 tri endprimitive generate specify tri0 wire genvar large or specparam tri1 highz0 liblist output rcmos triand buf deassign highz1 library real trior wor bufif0 default endspecify if realtime strong0 trireg xnor bufif1 defparam endtable localparam parameter strong1 xor design endtask ifnone pmos reg case disable posedge release SystemVerilog 3.0 予約語(166) casex enum longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge incdir process rnmos table use always_ff else export include medium rpmos always_latch changed end initial modport rtran task and char endcase extern inout module rtranif0 vectored assert input nand pull0 rtranif1 assert_strobe negedge pull1 scalared time void cmos endconfig for instance pulldown timeprecision wait assign config endfunction force int nmos pullup shortint timeunit automatic const endgenerate integer nor pulsestyle_ondetect shortreal tran wand forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin endinterface fork not signed tranif1 weak1 continue endmodule forkjoin join notif0 small transition while function notif1 tri endprimitive generate specify tri0 wire bit genvar large or specparam tri1 break highz0 liblist output rcmos static triand buf deassign highz1 library real trior wor bufif0 default endspecify if packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition longint posedge release union SystemVerilog 3.1 予約語(202) alias casex dist enum longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge incdir process rnmos table use always_ff chandle else export include medium program rpmos always_latch changed end extends initial modport property rtran task var and char endcase extern inout module protected rtranif0 this vectored assert class endclass final input nand pull0 rtranif1 throughout virtual assert_strobe clocking endclocking first_match inside negedge pull1 scalared time void cmos endconfig for instance new pulldown sequence timeprecision wait assign config endfunction force int nmos pullup shortint timeunit wait_order automatic const endgenerate integer nor pulsestyle_ondetect shortreal tran wand before constraint forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin context endinterface fork intersect not pure signed tranif1 weak1 bind continue endmodule forkjoin join notif0 rand small transition while cover function join_any notif1 randc solve tri endprimitive generate join_none null specify tri0 wire bit endprogram genvar large or specparam tri1 with break endproperty highz0 liblist output rcmos static triand within buf deassign endsequence highz1 library real string trior wor bufif0 default endspecify if local packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter ref strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition longint posedge release super union SystemVerilog 3.1a 予約語(220) alias casex dist enum illegal_bins longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge expect incdir matches process rnmos table use always_ff chandle else export include medium program rpmos tagged always_latch changed end extends initial modport property rtran task var and char endcase extern inout module protected rtranif0 this vectored assert class endclass final input nand pull0 rtranif1 throughout virtual assert_strobe clocking endclocking first_match inside negedge pull1 scalared time void assume cmos endconfig for instance new pulldown sequence timeprecision wait assign config endfunction force int nmos pullup shortint timeunit wait_order automatic const endgenerate foreach integer nor pulsestyle_ondetect shortreal tran wand before constraint endgroup forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin context endinterface fork intersect not pure signed tranif1 weak1 bind continue endmodule forkjoin join notif0 rand small transition while bins cover endpackage function join_any notif1 randc solve tri wildcard binsof covergroup endprimitive generate join_none null randcase specify tri0 wire bit coverpoint endprogram genvar large or randsequence specparam tri1 with break cross endproperty highz0 liblist output rcmos static triand within buf deassign endsequence highz1 library package real string trior wor bufif0 default endspecify if local packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter ref strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition ignore_bins longint posedge release super union IEEE Std. 1800-2005 予約語(221) SystemVerilog 3.1aにuwire が追加
https://w.atwiki.jp/solailo/pages/6.html
Engines for Everybody これはこの本に何が書かれているのか知りたい人のためのものです。 Engines for Everybody これはどういう本?何故学校は子供達に教えることを失敗し続けているのか Engines for Educationのねらい? ロジャー・シャンクと教育? コンピューターと教育? この本の内容? 変革の時 学校 VS 現実? 教室での学び? Learning Prior to School 失敗することと学ぶこと? The difference between learning and schooling Formal Education vs. Childhood Learning Percy s Dream Example of Natural vs. Formal Learning 退屈な科学の課題? Learning Process Reversed Problems with Traditional Classroom Biology Tapping A Child s Interests Why Biology Lab is No Fun Schools Fail to Use Students Natural Questions Studying to Forget Studying vs. Practicing Faulty Indexing Succeeding in School Today What Grades Do The Effect of Grades Fear and Students The Failure of Schools The Failure of Schools Who Cares About The Students? The Goals of the Schools Goals Underlying Education Students Learning Naturally The Goals of the Current Educational System Leveraging Curiousity Students Should Learn to Question Questions in Class Asking the Right Questions Classroom Discussion Fixed Curricula Where s the Fun? Motivation in the Classroom Curiosity vs. Curriculum The Cause of Conformity Teaching Children in the Classroom Forcing Teachers Into the Wrong Roles Motivator vs. Expert Role of Feedback The Testing Obsession Testing Inflexible System Piaget and Intelligence Tests Testing Everyday Knowledge Multiple Choice Tests The Right Answer System Testing and the Love of Learning Problems with Standardized Tests Solving the Testing Problem Top Ten Mistakes in Education Some Examples of What Learning Can Be LikeDustin Putting the Student in Charge Creanimate The Right Stories at the Right Time Sickle Cell Making Science Fun Yello Selling to Simulated Customers HRM Becoming a Human Resources Manager ORCA Learning from Cases Broadcast News Learning By Doing A Look to the FutureThe Future of the Book Considering the Future of the Book The Future of the Reference Book The Future of the Non-Fiction Book The Future of the Novel The Book and the Horse-Drawn Carriage The Future of Home Video Considering the Future of Home Video Practical Requirements The Impact on Business Doing Business in the Information Age Knowledge as a Business Problems with Information Distribution Who Will Step Up to the Plate? What Makes People SmartThinking About Educational Reform The Mechanics of Intelligence Human Reasoning Case-Based Reasoning What Are Cases? Cases Cases of Sending Troops Reminding An Example of Case-Based Reasoning The Budding Sushi Expert Building Expectations Repairing Expectations Expectation Failure Cases and the Process of Fixing a Broken Generalization The Right Time to Generalize The Problem with Expert Systems The Value of a Misguided Generalization Human MemoryLearning and Memory The Importance of Memory An Experiment in Memory and Knowledge How People Usually Think Memory Works The Warehouse Model of Memory The Error of the Warehouse Model How Memory Actually Works The Dynamic Memory View An Example of Dynamic Memory The Structures in Memory Looking at the Structures in Memory The Purpose of Memory Structures Memory Structures Scriptlets and MOPs The Role of Questioning in Memory Questions Driving Knowledge Asking Questions to Build Knowledge Questions Lead to Answers The Importance of Good Indices The Fundamental Lessons of Learning Theory Cultural Unliteracy Lists and Designing Curricula Cultural Literacy The Cultural Literacy Movement E.D. Hirsch Jr. and What Your First Grader Should Know Cultural Literacy Gaining Ground Goals of Cultural Literacy Flaws in Hirsch s Program What Hirsch Wants Hirsch s Theory of Knowledge Cultural Literacy and Schema Theory The Role of Background Knowledge in Communication The Importance of Concrete Knowledge Hirsch and Knowledge Building The Cultural Literacy List Automatic Learning Hirsch and Schemas Hirsch and Today s Curricula Children and Schemas Knowledge and Lists The Example of Reading The Reading Process and Background Knowledge Hirsch and Schema Theory Hirsch s Reading Method Would You Like to Learn From a List? How Schools Teach Literacy Lists Hirsch s Method for Cultural Literacy How You Learn Matters! Transfer Model The Acquisition Hypothesis How Children Can Learn So Much Knowledge and the Natural Learning Process Indexing Facts Memorizing Doesn t Work What the Experts Say About Memorization Where Is That Fact When You Need It? Mike Royko and Cultural Awareness The Unliteracy Policy Dangers of Literacy Lists Natural Learning Natural Learning ProgressivismHistory of Progressivism Progressivism s Impact Implementing Progressivism Today Learning by Experience How Natural Learning WorksThe Learning Waterfall and Natural Learning How to Teach Using Natural Learning The Teaching Architectures in the Learning Waterfall The Teaching Architectures The Five Teaching Architectures Implementing the Teaching Architectures Computers Helping Schools Address Natural Learning The Niche for Software If You Are Not Interested in Computers Getting the Schools to Play Ball Learning By DoingLearning by Doing Example of Learning by Doing Drawbacks to Learning By Doing Realistic Learning Situations Computers and Learning by Doing Using Simulators to Teach Different Simulators for Different Skills The Need for Coaches A Simulation for Learning A Foreign Language Dustin Using Dustin Dustin Putting the Student in Charge Education Elements in Dustin Applying Dustin to Other Simulations ChimpWorld A System for Building Social Simulations GuSS GuSS as Unique Training System Yello Selling to Simulated Customers George Simulated Consulting George Scenes from George Simulators as Complete Teaching Systems Complaints about Simulations Simulations and the Learning Waterfall Computers Can Support Learning by Doing Incidental Learning あなたはどうやってがんばらずに知るのか Using Incidental Learning The Harm of Teaching a Fixed List of Facts Failure of Extrinsic Motivation Road Trip Teaching Geography Using Incidental Learning Road Trip The Geography Un-Lesson Road Trip s Video Collection Videos in Road Trip Road Trip s Route Road Trip Teaches Geography Students Like Road Trip Fourth-Graders Don t Drive! Premise of Incidental Learning The Opportunity of Incidental Learning Learning By ReflectionChildren as Teachers Insightful Questions Asking Good Questions Students Need to Ask Questions Students Need To Explain Things to Themselves Programs That Assist Learning By Reflection The Sounding Board Sounding Board Model of Teaching The Sounding Board The Premise Behind Sounding Board A Dialog with Sounding Board Asking the Right Questions Questions in Sounding Board Generally Useful Questions Sounding Board and Learning by Reflection Helping Children Learn to Read Johnny Can t Read Reading and Understanding Reading Is Based On Understanding Good Readers The Way Reading Is Typically Taught Teaching Reading Teaching a Child to Understand Main Task of Teaching Reading Obstacles in Reading Understanding to Read Well Teaching Reader with the Movie Reader Movie Reader Movie Reader Teaches Comprehension Reading Method of Movie Reader Using Movie Reader A Session with Movie Reader Movie Reader and Questions A Criticism of Movie Reader Understanding Should Precede Reading Case-Based Teaching Learning from Failure Experts Teaching from Cases How to Teach Using Cases Teaching with Cases The Central Issues of Case-Based Teaching Case-Based Reasoning in the Real World Creanimate The Creanimate Project Teaching Science with Creanimate Using Creanimate Creanimate The Right Stories at the Right Time Creanimate Case-Based Education The Students Who Used Creanimate Cognitive Styles Case-Based Teaching in Action The Sine Qua Non of Case-Based Teaching Learning by Exploring Learning by Exploring Difficulties in Implementing Learning by Exploring Exploring in Today s Schools Using Computers to Aid Learning by ExploringThe Learning by Exploring Architecture Video Databases Making a Database Accessible ILS Programs that Help with Learning by Exploring Conversational Computers Answers for Your Questions ASK Systems The Development of Ask Systems TransASK An Ask System for TRANSCOM The Role of TransASK ASK Systems and Books Agents to Bring You the World s Stories It s Not Easy for Students to Access Experts Conversational Agents Agents in the Story Archive The Scientific Agent The History Agent The Economics Agent The Psychology Agent The Dramatic Agent The Logic Agent The Thematic Agent The Descriptive Agent Student Interests The Technology of Accessibility Goal-Directed Learning and Creating the Software We NeedGoal-Directed Learning Schools and Students Goals How Goals Direct Learning Sickle Cell Creating Active Learning Environments Sickle Cell Making Science Fun Sickle Cellをためす Sickle Cellの挑戦 Broadcast News Broadcast News Learning with Broadcast News Using Broadcast News Broadcast News Learning By Doing Teaching Architectures in Broadcast News Don t Force Knowledge on Students Principles of Quality Software Creating the Software We Need Educational Software Now! Who Wants Educational Change? The Limits of "Software Titles" Software for Curricula How Will The Software Get Built? ILS and Real World Savings The Need for Tools Goal-Based Scenarios and the Open CurriculumToday s Dangerous Curriculum Difficult Curriculum Development of Current Educational Models What Should People Know?What Kinds of Things Are There to Know? Types of Knowledge Distorted Facts What Should a Course Contain? What a Curriculum Should Contain Better Courses Facts, Subjects, and Domains Good and Bad Teaching How to Build A Course Redesigning Courses Goal-Based Scenarios The Pieces of a GBS The Target Skills of a GBS The Mission of a GBS The Cover Story of a GBS The Focus of a GBS The Operations of a GBS Putting a Goal-Based Scenario Together Designing a Goal-Based Scenario Identifying Target Skills Developing a Mission Choosing a Focus Creating a Cover Story for a GBS Planning the Operations of a Goal-Based Scenario Building Environments in Goal-Based Scenarios Target Skills of a Civil War GBS A Mission in a Civil War GBS The Focus of a Civil War GBS The Cover Story of a Civil War GBS Operations in a Civil War GBS A Learning Environment in a Civil War GBS A Biology Curriculum of GBSs GBSs Tie Together Different Subjects What Should a Curriculum Contain? Open Curricula Knowledge and Goals Back to Basics Problems Assessing Student Needs How to Use GBS s in an Open Curriculum GBSs in an Open Curriculum The Basic Processes Teaching Processes Teaching to Individuals Changing Schools for Better Education Disengaged Students Epilogue Learning is Fun Eight Things That Can Be Done The Student Bill of Rights
https://w.atwiki.jp/bemanilyrics/pages/759.html
Live together/good-cool Lift hands high,with heads held up Let s lift hands high,with heads held up Let s lift hands high,with heads held up Let s lift hands high,with heads held up to the sky. People all around we gather together. We can learn to live and love and stand for something now. Nothing can hold the rightous down forever,oh no. Come on now let s get this world together. Loving one another means no more war live in preace and the fighting everywhere will cease. Realizing living all together under one sun,this is how it should be we can live in peace. Hand in hand we hold them high,unity we re one people. Through the land prosperity. We can live together. Hand in hand we hold them high,unity we re one people. Through the land prosperity. We can live together. In love we live together and peace will reign forever. In love we live together and peace will reign forever.
https://w.atwiki.jp/mtg2384/pages/1048.html
autolink 金輪際/Nevermore (1)(白)(白) エンチャント 金輪際が戦場に出るに際し、土地でないカード名を1つ指定する。 指定されたカードは唱えられない。 《金輪際/Nevermore》をGathererで確認 《金輪際/Nevermore》をGoogleで検索 《金輪際/Nevermore》が使用された大会 取得中です。 カードテキスト転載元:Wisdom Guild様 2011 / 10 / 01
https://w.atwiki.jp/hmiku/pages/11197.html
【登録タグ CD CDR Treow(逆衝動P)CD】 前作 本作 次作 REVERSUS+ REVERSUS DYE -Synthesis- Treow(逆衝動P) NaturaLe 流通 即売 同人 発売 2010年7月19日 2010年7月19日 価格 ¥1,500 ¥1,800 サークル ELECTROCUTICA CD紹介 満を持して完成したELECTROCUTICAの1stアルバム。イベント頒布や同人ショップ委託の他、Amazonでも販売されている。 作曲Treow・作詞NaturaLeによる新曲7曲を収録。 プロデュースと作品総監督、ビジュアル(CD webデザイン/PV)制作指揮を喜多嶋時透が担当。 ジャケットはグロス加工の紙に銀箔押しのロゴが施された豪華仕様。フルカラーブックレット6P付き。 シークレットトラックは、ボーマス頒布盤には『Illusionika』のinstrumentalが、以降の盤には『Reversus』の続きとなる楽曲『Lullaby』が収録されている。 曲目 Reversus feat.ルシュカ fluctus+fractus feat.ルシュカ+初音ミクAppend Melaleuca feat.初音ミクAppend Illusionika feat.やなぎなぎ #006F86 feat.ルシュカ vice versa (instrumental) Triplaneta feat.F9/きよの Lullaby feat.初音ミクAppend(シークレットトラック) リンク CD特設サイト Treowのブログ 喜多嶋時透のサイト コメント 音とか変拍子とかはいつものTreowさんっぽい感じだけれども、このアルバムではそれ+クラシックっぽい進行の流れやテンポ、(たぶん)意図的な不協和音、よく聴かないとわからないぐらい小さな音のアクセントなどがあって敷居が高い感じ。でも、だからこそ、聴けば聴くほど深みにはまり込んでいける。特にMelaleuca。 -- 名無しさん (2010-08-02 07 58 55) Melaleucaかっこよすぎる -- 名無しさん (2010-08-19 22 27 04) やっと届いた。今回はすごいとっつきにくい感じになってるなって思った -- 名無しさん (2010-08-29 20 11 03) 玄人向けになったから音楽を知らない人にはとっつきにくく感じるんだろう -- 名無しさん (2010-08-29 22 03 12) 初めて、ChainingIntentionとかにはなかった2人の”本気”のメッセージに触れた気もする -- 名無しさん (2010-09-04 13 43 00) 昨日密林から届き無限リピート。 Treowさんの音楽の世界観がとても好きです。私はTriplanetaが1番好きです。 -- 名無しさん (2010-09-04 20 29 12) いや すごい!! 43分すべてきいて 感動しました← illusionikaエンドレスできいてますw 変拍子が心地よいです^^ -- 名無しさん (2010-09-18 01 22 43) 7拍子がナチュラルに聞こえるくらい聴いてる…Treowさんの世界観大好きです!!もう愛してるとしか言いようがありません← -- 名無しさん (2010-10-03 10 51 55) Treowさんは曲に主張は込めないって言ってるけど、公式サイトの作品概要見てから「Illusionika」の歌詞を見てしまうと……いろいろと勘ぐりたくなるw何か音楽業界とその周辺を皮肉ってるようにも取れるし。 -- 名無しさん (2010-10-05 23 09 36) 全体でひとつの話なんだと思うけどなんとなくBlindnessに通じるものがある気がした。個人的には#006F86が好き。 -- 名無しさん (2010-10-16 22 07 04) reversusは逆再生で聴くと歌詞が聞き取れる部分がありますね。fluctusは分からない。。。 -- 名無しさん (2010-12-03 23 24 23) 理解するのに時間がかかる曲が多い…ラテン語を使ってる曲とかorz -- chie* (2010-12-20 19 32 03) 敷居が高いかどうかは素養がないのでわからないがダントツにいいアルバム -- 名無しさん (2010-12-30 20 11 26) fluctus+fractusは逆再生したらそのまま歌詞になるね。Lullabyは逆再生して聞ける声と逆再生した声を対照的に載せてるみたい「あるべきばしょにもどる」って言ってる -- 逆再生P (2011-02-11 08 29 31) REVERSUSのPVが投稿されましたね!しずかさんがTwitterで「製作費ウン百万」って言ってたw -- 名無しさん (2011-05-12 11 11 06) 恐らくソフト等製作環境の費用でしょう -- 名無しさん (2011-05-16 01 37 18) オーケストラ生録してるし、スタジオ代だけで100万以上かかってると思う。加えて印刷コストが通常の何倍もする特殊仕様パッケージ。鬼畜。 -- 名無しさん (2011-05-18 11 56 37) CUTICAの本気を見た…完全変態すぎて惚れるしかない。にしてもReversusからMelaleucaまでの一連の流れが凄まじくかっこいいと思います。 -- 名無しさん (2011-05-20 09 37 26) fluctus+fractusのトンタカキュッキュって音がたまらない。Treowさんの曲はルシュカさんの声と相性がいいですね。またCDが発売されたら必ず買います。楽しみにしてます -- 名無しさん (2011-10-06 12 07 06) 最近うpされたほかのPのプロパガンダ曲を聴いて、このアルバム音楽業界へのプロパガンダだったのだと強く思った。 -- 名無しさん (2012-03-07 19 47 27) 今になっても全く色褪せない。僕のなかでダントツ1番のアルバムです -- 名無しさん (2013-03-18 23 20 45) 今年に入ってようやく入手できた。じっっくり聴かせてもらいます。 -- エヴァトリス (2017-01-07 04 15 52) 名前 コメント
https://w.atwiki.jp/glee_forever/pages/80.html
デイリー楽曲:グループD No.2 オリジナル歌手:Duck Sauce 楽曲放送回:シーズン2 第18話 楽曲概要 難易度 ノーツ数 TIME 平均密度[ノーツ/秒] EASY 183 n分n秒 NORMAL 264 HARD 511 EXPERT 662 ソングタイプ 楽曲報酬 +クリックで表示 EASY スコア ☆150ストーリートークン ☆2100ストーリートークン ☆330ブーストカード コンボ 55コンボ50ストーリートークン 128コンボ100ストーリートークン 183コンボ30ブーストカード クリア回数 1回100ストーリートークン 3回200ストーリートークン 5回5コイン NORMAL スコア ☆1100ストーリートークン ☆230ブーストカード ☆350ブーストカード コンボ 79コンボ100ストーリートークン 185コンボ30ブーストカード 264コンボ50ブーストカード クリア回数 3回200ストーリートークン 6回300ストーリートークン 10回10コイン HARD スコア ☆150ブーストカード ☆2100ブーストカード ☆3100ブーストカード コンボ 153コンボ50ブーストカード 358コンボ100ブーストカード 511コンボ10コイン クリア回数 5回500ストーリートークン 15回1000ストーリートークン 30回10コイン EXPERT スコア ☆150ブーストカード ☆2100ブーストカード ☆310コイン コンボ 199コンボ50ブーストカード 463コンボ100ブーストカード 662コンボ10コイン クリア回数 10回1000ストーリートークン 30回1500ストーリートークン 50回10コイン 動画 コメント 楽曲や譜面への感想、攻略等ありましたら、こちらへお願いします 名前 コメント