約 4,656,637 件
https://w.atwiki.jp/dmori/pages/12.html
Verilog HDL 1995 予約語(102) casex primitive repeat supply0 always casez event macromodule supply1 edge rnmos table else medium rpmos end initial rtran task and endcase inout module rtranif0 vectored input nand pull0 rtranif1 negedge pull1 scalared time cmos for pulldown wait assign endfunction force nmos pullup integer nor tran wand forever tranif0 weak0 begin fork not tranif1 weak1 endmodule join notif0 small while function notif1 tri endprimitive specify tri0 wire large or specparam tri1 highz0 output rcmos triand buf deassign highz1 real trior wor bufif0 default endspecify if realtime strong0 trireg xnor bufif1 defparam endtable parameter strong1 xor endtask ifnone pmos reg case disable posedge release verilog 2001 予約語(123) casex primitive repeat supply0 always casez event macromodule supply1 unsigned cell edge incdir rnmos table use else include medium rpmos end initial rtran task and endcase inout module rtranif0 vectored input nand pull0 rtranif1 negedge pull1 scalared time cmos endconfig for instance pulldown wait assign config endfunction force nmos pullup automatic endgenerate integer nor pulsestyle_ondetect tran wand forever noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin fork not signed tranif1 weak1 endmodule join notif0 small while function notif1 tri endprimitive generate specify tri0 wire genvar large or specparam tri1 highz0 liblist output rcmos triand buf deassign highz1 library real trior wor bufif0 default endspecify if realtime strong0 trireg xnor bufif1 defparam endtable localparam parameter strong1 xor design endtask ifnone pmos reg case disable posedge release SystemVerilog 3.0 予約語(166) casex enum longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge incdir process rnmos table use always_ff else export include medium rpmos always_latch changed end initial modport rtran task and char endcase extern inout module rtranif0 vectored assert input nand pull0 rtranif1 assert_strobe negedge pull1 scalared time void cmos endconfig for instance pulldown timeprecision wait assign config endfunction force int nmos pullup shortint timeunit automatic const endgenerate integer nor pulsestyle_ondetect shortreal tran wand forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin endinterface fork not signed tranif1 weak1 continue endmodule forkjoin join notif0 small transition while function notif1 tri endprimitive generate specify tri0 wire bit genvar large or specparam tri1 break highz0 liblist output rcmos static triand buf deassign highz1 library real trior wor bufif0 default endspecify if packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition longint posedge release union SystemVerilog 3.1 予約語(202) alias casex dist enum longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge incdir process rnmos table use always_ff chandle else export include medium program rpmos always_latch changed end extends initial modport property rtran task var and char endcase extern inout module protected rtranif0 this vectored assert class endclass final input nand pull0 rtranif1 throughout virtual assert_strobe clocking endclocking first_match inside negedge pull1 scalared time void cmos endconfig for instance new pulldown sequence timeprecision wait assign config endfunction force int nmos pullup shortint timeunit wait_order automatic const endgenerate integer nor pulsestyle_ondetect shortreal tran wand before constraint forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin context endinterface fork intersect not pure signed tranif1 weak1 bind continue endmodule forkjoin join notif0 rand small transition while cover function join_any notif1 randc solve tri endprimitive generate join_none null specify tri0 wire bit endprogram genvar large or specparam tri1 with break endproperty highz0 liblist output rcmos static triand within buf deassign endsequence highz1 library real string trior wor bufif0 default endspecify if local packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter ref strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition longint posedge release super union SystemVerilog 3.1a 予約語(220) alias casex dist enum illegal_bins longreal primitive repeat supply0 unique always casez do event import macromodule priority return supply1 unsigned always_comb cell edge expect incdir matches process rnmos table use always_ff chandle else export include medium program rpmos tagged always_latch changed end extends initial modport property rtran task var and char endcase extern inout module protected rtranif0 this vectored assert class endclass final input nand pull0 rtranif1 throughout virtual assert_strobe clocking endclocking first_match inside negedge pull1 scalared time void assume cmos endconfig for instance new pulldown sequence timeprecision wait assign config endfunction force int nmos pullup shortint timeunit wait_order automatic const endgenerate foreach integer nor pulsestyle_ondetect shortreal tran wand before constraint endgroup forever interface noshowcancelled pulsestyle_onevent showcancelled tranif0 weak0 begin context endinterface fork intersect not pure signed tranif1 weak1 bind continue endmodule forkjoin join notif0 rand small transition while bins cover endpackage function join_any notif1 randc solve tri wildcard binsof covergroup endprimitive generate join_none null randcase specify tri0 wire bit coverpoint endprogram genvar large or randsequence specparam tri1 with break cross endproperty highz0 liblist output rcmos static triand within buf deassign endsequence highz1 library package real string trior wor bufif0 default endspecify if local packed realtime strong0 trireg xnor bufif1 defparam endtable iff localparam parameter ref strong1 type xor byte design endtask ifnone logic pmos reg struct typedef case disable endtransition ignore_bins longint posedge release super union IEEE Std. 1800-2005 予約語(221) SystemVerilog 3.1aにuwire が追加
https://w.atwiki.jp/mopsprogramming/pages/162.html
後で見直したら、ネタかぶってましたね(^^;;)。すみません。 ここの記事は、ちょっとMops/Forthから離れた、一般論からの補遺って感じでご理解お願いします。 ぶっちゃけていえば、マイナスの数も使うかどうかです。普通の英語の意味で、ここでいっているsignというのはプラス/マイナスの符号のことで、signedは符号がつく、unsignedは符号がつかないです。まあ、プラスだって+符号がつくじゃないかと突っ込めますが、省略するでしょ、普通。それと、コンピュータでは、マイナスがつかない数字は数値リテラルといって、文字通りのその数値を意味するものとして考えられているわけで、それは当然、正か0の値を意味すると考えるわけですね。つまり、マイナスは特別につける記号なわけです。で、signedは、マイナスの記号がつく、つまり、負の数も考えるということなわけです。まわりくどいな。 だからといって、コンピュータがマイナス符号を理解するわけじゃない、というのは、前にも書きました。まあ、負の数かどうかを示す指標はあるんですが。負の数の表現としては、足し算するとちょうど桁が溢れて全部が0になる数の組があるわけで、それらは当然互いに逆元関係にあると見ていいわけです。ええと、逆元というのは、まあ、ここでは足すと0になる数ということですね。かけ算の逆元は、掛けると1になる数ですね。一般論はやめときます。 この体系でいくと、計算は、負の数かどうかというのを考えずに計算できるわけです。ただ、符号なし、つまり、0か正の数と解釈するときには、桁が溢れると数値が不正確になる、というに過ぎません。でてきた結果の解釈だけで済むわけです。つまり、符号付かどうかというのは、中身には関係ないのです。 1バイトを2進(ビット)表示して1000 0000の場合、符号付だと値は-128、符号なしだと128です。27の桁が1なわけで、これが128ということです。0111 1111だと符号付だろうがなんだろうが127です。127に1足せば、ちょうど1000 0000のような表現になるのですから、符号付でもそれを128にしてもいいような気もしますが、符号付数の中の"符号なし"にあたる部分が0~127で128個あるので、これはマイナスにしておいた方がバランスはいいですね(つまり、負の数は-128~-1の128個の数値で符号ありと無しが半々になる)。それに、"頭の桁が1なら負"というのはわかりやすい指標になりますからね。符号なしにすると、大きい数が、大体2倍まで使えるわけです。きっちり2倍じゃないですけどね。2倍より1こ多いですね。0から始まるんで、最大数はみな奇数になるんですね。 少し硬い言い方をすると、溢れた桁を捨てることで、コンピュータ内の整数値は有限環になるわけで、例えば1バイト数なら、256(符号なし数で)=0で循環する数ということになります。"256を法(modulus)とする"といいますね。ひもの端を0と256と考えて輪を作った状態でイメージできます。まあ、整数はとびとびなので、円よりも256角形のほうがいいですかね。0から反時計回りで数値を割り振って、0と(-)128を結べば、ちょうど半分に分ける対角線ですね。で、この軸に直交する対角線で結びつく数値は、符号付き数と見れば互いに加法逆元、符号なし数と見れば256ですね。前は「中心通る対角線が逆元」とか書いてましたけど、全然違いますね。テキトーですみません。ともあれ、こうすることで、有限アーベル群、というか有限可換群構造が入るわけです。まあ、硬い話はこの辺でやめましょう。 とまあ、符号なし数か符号付き数かは実体に関係ない、といえるのは、実は、0-1表現だけで考えているなら、という条件の下だけです。つまり、これを型として考えると、解釈の一貫性をどうやってつけるかも問題になります。前にも書いたように、型というのは値の"意味"なわけですから、当然解釈も伴っているわけです。符号なし数同士、あるいは、符号付き数同士、の計算なら、それぞれ結果も、符号なし/符号付きと解釈していいでしょう。じゃあ、混ぜたときはどうするんでしょう。 これは、例えばC言語などでは、型の優先順位(preference)というのを考えます。計算結果の型は、「より大きい」型に揃えられるわけです。符号付きと符号なしでは、符号なしが「大きい」ようで、バイト幅が同じの、符号なしと符号付きを計算すると、結果は符号なし型になるらしいです(本にそう書いてあるんですが、じゃあ、32ビットの、符号付き-2と符号なし1を足すと、42億9496万7295になるんですかね。すみません、あやふやで。その辺はCの教科書でもみてください。MopsやForthでは、関係ないネタなわけですから、コンピュータ科学の一般論にはならないってことですよね。)。ただ、この優先順位は、バイト幅の違いも加わって複雑怪奇になる危険がありまして、実際、同じ言語でもコンパイラによって違うものらしいです(つまり、C言語の教科書より、開発環境のマニュアルに頼らないといけないということですね。)。もっとも、バイト幅さえ大きくとって桁がはみ出さない(情報が損なわれない)ようにしておきさえすれば、"普通の"プログラミング言語では計算結果も結局は型が宣言された変数に格納されるので、その格納される変数の型に合わせてしまえばいいわけで、大きな問題にはならないわけです(多分^^;;)。 Mops/Forthでは、こういうやかましいことは全く問題になりません。解釈が必要になったそのつど解釈するからです。変数に入れるには意味付けが必要であるような、静的に型付られた言語との違いです。ただ、符号付きか符号なしかが問題になる局面はあり得ます。例えば大小を比較するときです。マイナスの数というのは、符号なしの数に解釈すると、ものすごく大きな数になってしまうからです。逆に、符号なし数として考えているのに、符号付きに解釈されてしまうと、相当大きな数が0より小さいことにされてしまいます。 Mops/Forthでは、符号なし数として大小を比較するワードを特別に準備することで対応しています。ついでですので、どんなワードか見ておきましょう。 標準は、符号付き数としての比較で、" "、" "などのような、おなじみの記号(実際はワード名ですが)をつかいます。詳しい使い方はここでは書きませんが、スタック上の二つの数値を比較して、真偽値をスタックに残すことになります。 符号なし数として比較するときには、"U "、"U "のように、Uをつけます。unsignedのuですね、多分。 符号付き云々が問題になるのは、少なくとも頭の桁が1になるくらいの絶対値の大きな数だけです。ですから、大抵、これを問題にするのはビット数が小さい1バイト数の場合です。型としてみれば、文字列の文字数とか、負にはなり得ないものは符号なしが当然です。大きな値で符号なしで使っている例としては、メモリーのアドレスがあります。大きいですからね、昨今のメモリーは。32ビットだと4GBまでということです。64ビットコンピュータが云々される最大の理由は、巨大なメモリーのアドレスを簡便に扱いたいから、ということだと思いますね。何台もつないだときにですね。 Mops/Forthでも、値が一定の解釈を要請するときには、それに応ずることができないといけません。特に、Mops/Forthでは、1セルより小さい幅のメモリに格納されている数も、スタック上の1セル(Mopsでは4バイト)に落としてから使います。このとき、符号を反映させるのかどうかを考えなければなりません。 この観点からいうと、Mops/Forthでは、バイト幅の小さいメモリー中の値は、"符号なし"として扱うのがデフォルトになっています。1バイト/2バイトのメモリーから値を取り出す標準ワードはそれぞれC@とW@ですが、これは、メモリー中の符号なしの数としてセル上に展開するからです。符号付と解釈するワードはC@XとW@Xで、後ろにXがつきます。これはextensionのXで、負号をスタックセル全体に拡張するという意味です。普通は空いた桁に0を詰め、拡張版では頭の桁に呼応して1をつめることもあるわけですね。 前へ 次へ 目次へ トップページへ
https://w.atwiki.jp/snesspec/pages/148.html
サインドオーバーフロー (Signed Overflow) とは、 符号付き整数の加算/減算時に、結果が意図した符号とは 逆の符号を指す時の状態のことを指す。 CPU のレジスタのサイズが固定になっていて、 負の整数の表現に 2 の補数表現を使うために このようなことが起きる。 サインドオーバーフローの起こる条件 2 つの正の整数を加算すると、結果がマイナスになる。 2 つの負の整数を加算すると、結果がプラスになる。 負の整数から正の整数を減算すると、結果がプラスになる。 正の整数から負の整数を減算すると、結果がマイナスになる。 8 ビットの CPU で 2 つの正の整数を足してマイナスになる例 1 つ目の整数 0x7F (127) 2 つ目の整数 0x01 (1) 0x7F + 0x01 = 0x80 一見正しそうに見えるが、0x80 (2 進数で 1000 0000) は、 最上位ビットに 1 が立っているため、符号付き整数の場合は負の数となる。 よって、128 ではなく、-128 となる。 (符号無し整数の場合は 128 を表現できる。 また、符号が無いため、サインドオーバーフロー自体が起こらない) これは、8 ビットの符号付き整数の範囲が -128 ~ 127 に限られているために起こる。 16 ビット単位で符号付き整数を加算できる場合、 0x7F + 0x01 は 128 となり、サインドオーバーフローは起こらない。 16 ビットの符号付き整数の範囲は -32768 ~ 32767 なので、 この場合は、結果が 32767 を超える時に起こる。
https://w.atwiki.jp/v-lyrics/pages/298.html
シグナルP/SignalP Titles in this Wiki Cendrillon-サンドリヨン Creator Links NicoNicoVideo Mylist - WorkList(main) NicoNicoVideo Mylist - WorkList(others) NicoNicoVideo Mylist - WorkList(all) HP YouTube Channel Related Links YT Playlist Creator Info SignalP (Dios) has his own channel as "twinkledisc" in YouTube. But he uploaded only two video there. He became a popular author from his first work "Rin Rin Signal". His producer name comes from his work of this beginning. But he is a professional musician "Hiroaki Arai". This fact was announced later by him. -- (ymiyass900) 2009-06-23 12 44 40 Name Add post すべてのコメントを見る Added Modified by ymiyass900 _
https://w.atwiki.jp/saibakho/pages/148.html
Free Appli (unsigned)について unsignedとは、 開発中であったり、Symbian Signedを獲得する気がなかったり、怪しい機能だったりと、 審査の厳しいSymbian Signedの認証を許可されていないアプリ その為、不具合、動作不良、ウイルスなど、様々な危険がある…、かもしれない 中にはPythonで書かれたプログラムをsisファイルにパッケージした物がある。 それらを起動するには、予め PythonForS60 と PythonScriptShell をインストールしておく必要がある。 705nkの場合、【メニュー ツール アプリ 設定 ソフトウェアインストール】が表示されていない さらに、初期設定が【著名済のみ(Symbian Signed only)】となっている為、 unsignedなどのアプリをインストールできない。 しかし、Hackを行い内部設定を変更することにより、 【メニュー ツール アプリ 設定 ソフトウェアインストール】を表示させ、設定を【すべて】へと変更すれば、インストール可能となる x02nkの場合、705nkのように設定を変更できずインストール出来ないといった制限はない しかし、不適切と判断したアプリをUIDで個別に制限しているらしい その他のセキュリティに関わるアプリの場合、705nk(Hack後)とx02nkのどちらにしてもそのままでは普通にインストールできない。 アプリ開発者の為に用意されているOpenSignedという開発認証を利用(悪用)するか、 Mobile Signer(強化版)やというアプリを使いSelfSignの認証を付加するか、 installserver.exeを導入で可能かもしれない。 x02nkでは、Mobile Signer(強化版)の追加偽造証明書ファイルの属性設定を読み込み専用にしないと再起動した際に消えるらしい。 OpenSignedの場合、アプリのUIDが0xE0000000~0xEFFFFFFFでないと、付加することが出来ない。 Mobile Signerの強化版やinstallserver.exeでは、どんな危険なアプリでもインストールできるかもしれない。 その為、深刻な問題を引き起こすかもしれない unsignedアプリの危険性について 危ないアプリばかりで、安全なアプリは無い Free Appli (unsigned)---20080406~(ほとんど動作未確認) http //3lib.ukonline.co.uk/nonagss603.htm http //www.mobiletopsoft.com/symbian/freeware/tag/explorer http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/00_index_3rd_ed.htm https //www.symbiansigned.com/app/page/public/openSignedOnline.do http //symbian-applications.blogspot.com/ http //fms.komkon.org/EmuSymbian/ http //cyke64.googlepages.com/ http //mclightning.webrex.org/ symbian freakのforum http //www.symbian-freak.com/forum/viewforum.php?f=4 topicdays=0 start=0 symbian freak---NOKIAを中心としたニュース、アプリなど http //www.symbian-freak.com/ symbian freeware---freeware系 http //symbian-freeware.web.id/ symbian60---NOKIAを中心としたニュース、アプリ、テーマなど http //www.symbian60.mobi/ http //dailymobile.se/category/applications-s60/ aapig.com---中華系のアプリ http //bbs.aapig.com/bbs/book_list.asp?siteid=956 classid=1894 action=topic Symbian S60 3rd Edition Freeware 良いサイト風だったがウイルスに感染破壊されたっぽい(20090713) ttp //s60.pipip.de/ JOM Software---Python For S60で書かれたアプリ多数 http //jouni.miettunen.googlepages.com/home File Browser Tasks music、movie Reboot Calc、Clock、Alrm、PowerSaver GPS Notes、TestReader など RSS Weather Call Manager 電車 メール、カレンダー ツール その他 ボタンをカスタマイズ、ショートカット バーコードリーダー Game Theme、見た目をカスタマイズ 無線LAN関係---X02nk 動画、ネットラジオ、音楽、ストリーミング動画配信サービス---X02nk モーションセンサーを利用したツール---X02nk S60 LOCK SCREEN キーロックすると時計が表示されるアプリ DONATEアプリ lockを解除する際に、スライドアニメーションがある。 http //www.digitalfootmark.com/?pr=s60-keylock Key Lock Clock キーロックすると時計が表示されるアプリ signedは有料、unsignedは無料。 http //www.drjukka.com/KeyLockClock.html NetQin Mobile Antivirus Fast full scan Timely and accurate real-time monitoring Consistent economical on-line update http //www.netqin.com/en/products/antivirus/download NetQin Mobile Assistant Reliable management of mobile phone application Shortcut access - one-touch key Weather forecast and star constellation http //www.netqin.com/en/products/assistant/download Easy Mute Silent ignoring of all blacklisted numbers; Ability to mute the current sound profile; Application status screen showing the current application activity; Ability to view the number of muted contacts at any time; Full application disabling in order to restore your phone’s typical sound profile; Record of all muted contacts saved in Disabled mode for quick switching back to "silent ignore" mode; Simple, easy to set up and effective. http //www.melonmobile.com/products/easy_mute/ http //www.melonmobile.com/products/easy_mute/trial_wizard/ PyDecoMail デコメールを見るアプリ ALLFILE化状態で、アプリを起動させる必要があるかも http //blog.livedoor.jp/gallerialivecom/ Dailyme.tv http //www.dailyme.tv/phones/phones_list/show http //www.symbian-freak.com/forum/viewtopic.php?t=34548 sid=f04236276540910d0053cb40350cf788 Tracker.py http //code.google.com/p/tracker-py/ aulost navigation Google map and Karttapaikka maps http //code.google.com/p/aulost/ pygpslog GPSのlogを取るアプリ Python系アプリ http //code.google.com/p/pygpslog/ PyBootLog 再起動日時を記録するPythonスクリプト pyファイルを使用する場合は「PyTaskServer」で起動 SISファイルからインストールした場合は「PowerBoot」で起動 http //masaland.cocolog-nifty.com/blog/2009/02/python--fa13.html Total Patrol http //betep.allnokia.ru/tp_dwnl.php http //betep.allnokia.ru/sis/TotalPatrol.unsigned.2.1.24.s60v3x.sis FreeFSWP 画像をfullscreenでwallpaperするアプリ http //blogen.junnikokuki.com/tag/freefswp/ PyNetMony GSM, UMTS, WLAN and Bluetooth Monitor http //pynetmony.googlepages.com/ http //pynetmony.googlepages.com/download_py MobilHex バイナリエディタ http //www.symbian-freak.com/forum/viewtopic.php?t=26195 http //www.symbian-freak.com/forum/viewtopic.php?p=349729 http //www.fileupyours.com/view/220613/mobilhex_v0.9.6_195.zip FreeUnRAR http //blogen.junnikokuki.com/tag/freeunrar/ ListCaller http //www.mobfreesoft.com/html/listcaller.php BT Voice Bluetooth Walkie-Talkie http //dailymobile.se/2008/12/26/symbian-application-bluetooth-walkie-talkie/ WibraWait 振動機能の調節が出来るのかな http //www.symbian-freak.com/forum/viewtopic.php?t=27667 The All In One Torch http //www.symbian-freak.com/news/007/09/freeware_the_all_in_one_torch.htm http //www.symbian-freak.com/forum/viewtopic.php?t=13390 sid=06354cdb1fa8ae836eed0e3f33f9937e HideMenu http //www.symbian-freak.com/forum/viewtopic.php?t=26536 sid=06354cdb1fa8ae836eed0e3f33f9937e Tyrian http //www.symbian60.mobi/2008/12/24/kostenloser-spieleklassiker-tyrian/ Standby Extender http //www.symbian-freak.com/news/008/12/standby_extender_beta_by_wook.htm http //www.symbian-freak.com/forum/viewtopic.php?t=27112 sid=e3f46fb780c295f8e8d4588225b39699 Reminder http //dailymobile.se/2008/12/19/symbian-application-reminder-v100-for-s60-3rd-with-voice-annotation/ Resource Cleanup http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/s60_3rd_edition_resource_cleaner.htm Battery Manager http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/battery_manager_freeware.htm CamCuts http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/camera/camcuts__extend_functionality_of_default_cam_application.htm Virtual Key http //dailymobile.se/2008/11/15/symbian-application-virtual-key-s60v3-freeware/ HandWave Donationware 今までの流れからいくと、開発がすすめばFREEになるのかな http //www.aikonlab.com/ Swim Google Calendar (through GooSync)、 Mobical、 Zyb と Oviなどと自動的に同期するアプリなのかな http //code.google.com/p/bergamot/wiki/Swim http //bergamot.googlecode.com/files/Swim_040_S60.SIS PyFolder http //koti.mbnet.fi/haviital/index.shtml?projects_python_apps http //koti.mbnet.fi/haviital/python_apps/pyfolder_v0_9_0.sis X Scanner ジョークアプリ http //dailymobile.se/2008/11/05/symbian-application-x-scanner/ NiiMe (function($){ $(function(){ $( .plugin_u2b ).each(function(){ $(this).html( ); }); }); })( atwiki_j$ ); モーションセンサで操作 http //www.niime.com/download.htm SmartClock http //www.symbian-freak.com/news/008/02/freeware_smart_clock.htm http //www.symbian-freak.com/downloads/app/3rd_ed/SmartClock.zip n73_sms_reader(TTSMS) Text-to-speechのデータを使用しているのかな smsを読んでくれる(ひらがなカタカナ、漢字は一部のみ) http //handheld.softpedia.com/get/SMS/TTSMS-Reader-57227.shtml http //download2us.softpedia.com/dl/dc7fb4d107eab517b12b7396206f96fc/490c645f/700057227/pda/System%20Utilities/SMS/N73_SMS_Reader_v1_50_UNSIGNED.zip http //series-sixty.blogspot.com/ MobileDictionary E71などにもともとインストールされている辞書らしい あやしい掲示板で抜き出された本体アプリが配布されているらしい。 NOKIAのサイトで様々な辞書ファイルが配布されている。 Language packageを追加後、sourceとTargetで言語を指定。 x02nkにインストールするとなぜかアプリ上では、封印されているText-to-Speechが機能する。 辞書の単語を読み上げてしまうらしい http //www.mobilecastle.biz/mobiles/symbian-os9-x-applications/45306-14-07-08-nokia-mobile-dictionary-unsigned-extracted-runs-all-s60v3.html http //www.mobilecastle.biz/mobiles/attachments/symbian-os9-x-applications/31142d1218398220-14-07-08-nokia-mobile-dictionary-unsigned-extracted-runs-all-s60v3-mobiledictionary-devcert.zip Language package for Japanese http //europe.nokia.com/A4680276 http //europe.nokia.com/A4164022?url=http //nds1.nokia.com/files/support/global/phones/software/Nokia_Mobile_Dictionary_ja.SIS MobiMonster freeは機能制限があるのかな http //mobimonster2.com/ http //mobimonster2.com/downloads/MobiMonster.zip Nokia Multiscanner 日本語のデータもあるらしいけど、みつからない… http //www.nokia.com/A41229032 http //dailymobile.se/2008/10/24/symbian-application-nokia-multiscanner/ File Browser Y-Browser 使い易いファイルブラウザ プラグインを追加することにより、メールやZIP、TEXTファイルを開くことができる。 http //www.drjukka.com/YBrowser.html ActiveFile ファイル閲覧アプリ http //activefile.googlepages.com/home http //activefile.googlepages.com/ActiveFile_S60_9.1_basic_cap_signed.SISX FExplorer ファイル閲覧アプリ http //www.gosymbian.com/FE_beta_download_3ed.html http //www.gosymbian.com/dhscr/download/dwl_fe_3ed_beta.php?name=FExplorer X-plore これはsharewareですが、 とりあえず、全てのFileが見れるようになるHackがあったので載せておきます。 Y-Browzerでもsymbaaliに載ってる方法で出来るらしい http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/x_plore_shareware_file_browser.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/X-plore.zip http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/X-plore_AllFiles.zip Sky Explorer Python系 http //sky-explorer.timelesssky.com/ http //skyexplorer.googlecode.com/files/skyexplorer_3rdEd_1.0.1.unsigned.sis TrueExplorer さっさと表示してくれるらしい http //mikornen.blog.ocn.ne.jp/powerbook/2007/10/trueexplorer.html http //www.mobiletopsoft.com/symbian/freeware/download-trueexplorer-v0-24.html http //www.mobilecastle.biz/mobiles/attachments/symbian-os-9-1-9-2-applications/18922d1192756373-19-10-07-trueexplorer-v0-24-s60v3-symbianos-trueexplorer-v0.24.rar QReader http //www.qreader.com/ http //www.qreader.com/QReader_S603rd.SISX Tasks jbak-taskman ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=jbak-taskman001.jpg) ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=jbak-taskman002.jpg) Python複合系 一度起動すると標準と入れ替わるタスクマネージャ。 オプションで表示をカスタマイズでき、 通常では表示されないバックグラウンドで起動するカメラなどを表示し、閉じることができる。 Y-Tasks プラグインにより機能追加ができる。 Trace、Crash monitor、Apps Tasks、File types、Memory status、Processes Threads http //www.drjukka.com/YTasks.html http //www.drjukka.com/files/Y_Tasks_051_0_3rdEd.SIS EQ Alt Tab ショートカットウインドウではなくてタスクマネージャ http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/eq_alt_tab_freeware.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/eqalttab.zip CPUMonitor 1.10 for S60v3 CPU使用量がわかる。 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/cpu_monitor_for_s60_3rd_ed_by_opda.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/CPUMonitor.zip pyBattery Python系 pyBatteryとminiPyModulePack両方をインストール http //www.symbian-freak.com/news/007/12/pybattery_freeware_battery_management.htm http //www.symbian-freak.com/downloads/app/3rd_ed/1.3_withoutModules.zip http //www.symbian-freak.com/downloads/app/3rd_ed/miniPyModulePack.zip Battery Voice v4.0 For Symbian 3rd Edition aXYZ入り、バッテリーの状態を教えてくれるのかな? http //symbiansolution.com/?page_id=33 http //www.symbiansolution.com/wp-content/themes/NewYorker_v2/UPLOADS/BatteryVoice_English_v4.rar http //symbian-freeware.web.id/symbian/others/battery-voice-v40-for-symbian-3rd-edition http //symbian-freeware.web.id/wp-content/uploads/2008/05/batteryvoice_english_v4.rar PyWidgets http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/miscutility/pyWidgets_for_s60.htm PythonとmegaPyModulePackをインストールする事 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/python/megaPyModulePack.zip JbakTools ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=JbakTools.jpg) ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=JbakTools002.jpg) ボタン配置など、様々なセッティングができるアプリ ttp //symbian-applications.blogspot.com/2007/08/jbaktools.html music、movie OggPlay 言語分けが必要 高機能、音楽再生アプリ タイマー機能が有る。 http //symbianoggplay.sourceforge.net/S60V3.html http //downloads.sourceforge.net/symbianoggplay/OggPlayS60V3_1_72.zip FLVPlayer http //www.symbian-freak.com/news/007/12/flash_lite_3_flvplayer_freeware.htm http //www.symbian-freak.com/downloads/app/3rd_ed/flvplayer_v10.zip MSI Blue Player Patched unsigned self-signed 改造版 http //www.ipmart-forum.com/showthread.php?t=175950 AspyPlayer Python系 http //www.symbian-freak.com/news/008/03/audioscrobbler_last_fm_player.htm http //www.symbian-freak.com/downloads/app/3rd_ed/aspyplayer.zip Klaar Syntrax s60 3rd symbian 9.1 http //www.finished.nl/index.html http //www.clickappsdownloads.com/files/Syntrax_s60_3.SIS BeatEd - a simple drum machine http //www.byterapers.com/BeatEd/blog/static.php?page=Downloads http //www.byterapers.com/BeatEd/download.php?file=BeatEd_S60v3_v1_11_00_Signed.SISX type=sisx http //www.byterapers.com/BeatEd/download.php?file=kits/BeatEd_S60v3_DefaultKit_v1_11_00_Signed.SISX type=sisx Mp3 Track Doctor http //www.nokia.bir.ru/Files-view-5473.html Reboot neoreboot 確認表示が出る再起動アプリ http //www.neuvex.com/products/neoreboot/ eqRestart アイコンを押すと再起動 http //www.symbianv3.com/restart-your-phone-quickly http //www.symbianv3.com/wp-content/uploads/2008/02/eqrestart_3rd.rar pyRestart Python系 再起動アプリ http //www.symbian-freak.com/news/007/12/pyrestart_freeware_sys_utility.htm http //www.symbian-freak.com/downloads/app/3rd_ed/pyRestart.zip PySystemUtilities Restart with Delay、immediately reboot、Screenshots Python系 http //www.symbian-freak.com/forum/viewtopic.php?t=15450 start=0 postdays=0 postorder=asc highlight=python http //vinusf.googlepages.com/PySystemUtilities.zip WinXP Restart for S60 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/winxp_restart_automated_phone_restart_or_shutdown.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/winxprestart.zip Calc、Clock、Alrm、PowerSaver Nixie Watch http //jouni.miettunen.googlepages.com/nixiewatch Beeper http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/miscutility/beeper_for_s60.htm Forumで最新版があげられているのかな http //www.symbian60.mobi/forum/neue-symbian-software-und-updates-dazu/beeper-multifunctional-freeware-utility-for-s60-devices/page-1/ Y-Alarms アラーム http //www.drjukka.com/YAlarms.html#APP1 http //www.drjukka.com/files/Y_Alarms_051_0_3rdEd_SS.SIS Large Time Screensaver PowerSaver http //www.martin.st/software/#largetime http //www.martin.st/software/largetime-1.04-opensigned.sis Calcium 計算機 http //mtvoid.com/calcium/index.html http //mtvoid.com/Calcium_3rd_1_21.sis cCalc 言語分けが必要 計算機 http //pachome1.pacific.net.sg/~welic/cCalc.html http //home.pacific.net.sg/~welic/cCalc_S60_3rd_111.sis FreeTimeBox http //blogen.junnikokuki.com/tag/freetimebox/ Timebar http //mosh.nokia.com/content/37BEFAB2E80B4BC8E040050AEE0413B1 http //nds2.content.mosh.nokia.com/entity/1/37BEFAB2E80B4BC8E040050AEE0413B1/TimeBar_v1.61_b0715.zip EggClock タイマー。音楽ファイルを設定できる。 http //code.google.com/p/eggclock60/ http //eggclock60.googlecode.com/files/EggClock_1.6_5th_ed.sisx Speaker 時刻などをしゃべってくれる。日本語は数字だけかな Open Signed可能 http //manu.k81.googlepages.com/pythonappsfors60 http //manu.k81.googlepages.com/Speaker_v1_0_3.zip HourPower 時間をしゃべってくれる。英語設定にすれば http //www.symbianresources.com/projects/hourpower.php http //www.symbianresources.com/projects/hourpower/HourPower.1.04.zip Shake O’Clock http //symbiansolution.com/?page_id=34 FreeTimeSync 時間合わせ http //blogen.junnikokuki.com/tag/freetimesync/ SongTimer http //dailymobile.se/2008/09/20/symbian-application-songtimer-v10/ DeskCountdown 設定した日まで、あと何日か表示してくれるアプリ http //bbs.aapig.com/bbs/book_view.asp?pageback=1 id=254781 sid= http //www.ipmart-forum.com/showthread.php?t=320641 http //dailymobile.se/2009/01/08/symbian-application-deskcountdown-desktop-timer-a-better-way-to-remind-you/ x02nk用 http //bbs.aapig.com/bbs/upload/2009/01/01/121112858.sis http //aapig.com/bbs/upload/200901211440408.sis 705nk用 http //bbs.aapig.com/bbs/upload/2009/01/01/121112840.sis http //aapig.com/bbs/upload/200901211440315.sis Sleep Music タイマーで設定したアプリを停止するアプリかな http //s60python.blogspot.com/2008/12/sleep-music-v105.html GPS Phonelocator GPS tracking application http //www.phonelocator.mobi/home/download http //www.phonelocator.mobi/download/phonelocator.v070.sis Tourality GPSを使ったゲームかな? http //tourality.com/ http //tourality.com/download/tourality/240x320/Tourality.jad http //tourality.com/download/tourality/240x320/Tourality.jar demo videos http //tourality.com/demo.jsf GPS Mission たぶんGPSを使ったゲーム http //gpsmission.com/gps-mission/The-Game.htm http //nds2.content.mosh.nokia.com/entity/2/4F430DF44C896652E040050A44300889/GPSMissionJ2ME.zip Eriadne http //www.eriadne.org/ GPS関係 http //nds2.content.mosh.nokia.com/entity/1/44B7A994CB3FD9B6E040050A44300E86/Eriadne.jar Notes、TestReader など py60tex NOKIA(Symbian S60)用のテキストエディタ 文字コードUTF-8/UTF-16/Shift-JISに対応 Open Signed可能 http //www.geocities.jp/s60_theme/index.html JBAK DEdit ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=JBAK DEdit-001.jpg) ref(http //www27.atwiki.jp/saibakho?cmd=upload act=open pageid=4 file=JBAK DEdit-002.jpg) 凄いノートだけど日本語が保存できない(Shift-JIS非対応)かもしれません ttp //jbak.hut2.ru/index_en.php ttp //jbak.hut2.ru/download.php?fn=DEdit9_074.zip ptsNotes http //www.nkozawa.com/blog/ptsnotes/ LightNotepad 軽いメモ帳 日本語が保存できない http //www.petnetti.net/lightnotepad/indexE.shtml http //www.petnetti.net/lightnotepad/LightNotepad131.sisx http //www.petnetti.net/lightnotepad/LightNotepad180.sisx RSS S60NewsReader http //s60newsreader.stoeger-it.de/ Weather Mobile Weather 天気予報 予報データはYahoo! Weatherらしい http //www.ubahnstation.net/projects/mweather/mweather.html http //www.ubahnstation.net/mweather/MWeather_S60_30_1_1.SISX Weather 天気予報、場所検索が空港基準 http //badpint.org/weather/ Call Manager Monikker たぶん、着信時に壁紙を変えるアプリ 人によって表示できる画像を変えれるのかも 登録が必要なのかな http //www.monikker.com/ Call Manager http //www.symbian-freak.com/news/008/01/call_filter_freeware_call_utility.htm http //www.symbian-freak.com/downloads/app/3rd_ed/CallFilterS60v3.zip http //www.anfymobile.com/callfilter/index.php http //www.anfymobile.com/callfilter/download.php?id=42 FreeTones http //blogen.junnikokuki.com/tag/freetones/ FreeCallSprite beta コール時の振動をどうにかするのかな? http //blogen.junnikokuki.com/tag/freecallsprite/ StopCall http //dailymobile.se/2008/08/20/symbian-application-stopcall/ 電車 Métro 電車の時刻表 http //metro.nanika.net/ メール、カレンダー S60TickerServer 旧バージョンがフリー http //s60ticker.stoeger-it.de/sdk/ http //www.4s60.com/symbian-os-9/s60v3-soft/4-s60ticker-v0.8.9-unsigned.html http //www.4s60.com/engine/download.php?id=5 CalSyncS60 Googleカレンダーを取り込むのかな http //s60addons.com/calsync/ http //s60addons.com/calsync/calsync_0_1_4b.sisx Fake Messages http //www.drjukka.com/FakeMessages.html ツール SWITCH OFF http //betep.allnokia.ru/so_dwnl.php http //betep.allnokia.ru/sis/SwitchOff.unsigned.2.0.0.s60v3fp1.sis ENERGY PATROL http //betep.allnokia.ru/ep_desc.php http //betep.allnokia.ru/sis/EnergyPatrol.unsigned.1.1.1.s60v3fp1.sis Kredit Exchange http //jouni.miettunen.googlepages.com/kreditexchange Light Control http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/miscutility/light_control__keyboard_and_screen_backlight_manager.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/misc/LightCtrl.zip Profile Scheduler http //www.drjukka.com/ProfScheduler.html Slide Helper x02nk用 スライドを閉じたり開いたりした時のキーロック等の設定変更を助けてくれるのかな http //s60soft.ru/eng/ http //s60soft.ru/slidehelper/slidehelper_v.1.02.zip http //w17.easy-share.com/1700672942.html http //s60soft.ru/slidehelper/slidehelper_v.1.04.zip http //s60soft.ru/slidehelper/slidehelper_v.1.08.zip WakeUpMe (pyBudzik) Python系 時限式でアプリ起動 http //www.symbian-freak.com/news/008/02/pybudzik_radio_alarm_clock_for_your_phone.htm http //www.symbian-freak.com/downloads/app/3rd_ed/WakeUpMe.zip S60SpotOn バックライト、フラッシュライトを点灯。 x02nkで使うとフラッシュライト部分が赤く点灯するかも http //www.outbank.de/download/s60spoton/ http //www.outbank.de/fileadmin/uploads/S60SpotOn_0.07.SISX CapsOnOFF http //fca00000.googlepages.com/CapsOnOFF.rar Mobile Signer http //symbian-applications.blogspot.com/2007/12/update-signsis-v103-rsa-key-and-cert.html 強化できるらしい http //www.symbian-freak.com/forum/viewtopic.php?t=18059 sid=5c126da16cae6e5e93dccd7278205fad FreeSigner http //www.symbian-freak.com/forum/viewtopic.php?t=26688 http //blogen.junnikokuki.com/tag/freesigner/ Py R Inger http //www.zoellner.tk/pyringer/ Py MO flooder http //www.zoellner.tk/pymoflooder/ Py SMS flooder http //www.zoellner.tk/pysmsflooder/ Deleter http //dailymobile.se/2008/10/09/symbian-application-deleter-v101/ Change Your Phones Identity- S60 Browser Goes Iphone http //dailymobile.se/2008/08/21/symbian-application-change-your-phones-identity-s60-browser-goes-iphone/ その他 Movino ウェブカメラ化? Broadcast LIVE video from your mobile phone over 3G or WiFi onto your website. Or use your phone as a bluetooth webcam in Mac OS X. http //www.movino.org/ AppQLaunch アプリ検索 http //my-symbian.com/s60v3/software/applications.php?fldAuto=379 faq=1 http //tinyhack.com/freewarelist/s603rd/2007/09/06/appqlaunch/ LogExport 通信履歴をCSVファイルで出力するアプリ http //my-symbian.com/s60v3/software/applications.php?fldAuto=378 faq=1 http //tinyhack.com/freewarelist/s603rd/2007/03/02/logexport/ Lfile(InsFast) まとめてインストール http //my-symbian.com/s60v3/software/applications.php?fldAuto=376 faq=1 InsFastの更新バージョン(Lfile) http //www.symbian-freak.com/forum/viewtopic.php?p=198478#198478 http //vinusf.googlepages.com/infast.rar SPMark�for Symbian OS v9 Consumer Version JAVAではないUNSIGNEDの方 http //www.futuremark.com/download/spmarksymbianos9/ PhoNetInfo UNSIGNEDの方(1.8.0) http //www.patrickfrei.ch/phonetinfo/ http //www.patrickfrei.ch/phonetinfo/rb181/PhoNetInfo_1.8.1_OSO.zip http //www.patrickfrei.ch/phonetinfo/rb190/PhoNetInfo_1.9.0_OSO.zip http //www.patrickfrei.ch/phonetinfo/rb200/PhoNetInfo_2.0.0_OSO.zip Screenshot for Symbian OS UNSIGNEDの方 http //www.antonypranata.com/screenshot/download-screenshot-symbian-os-s60 http //www.antonypranata.com/download/screenshot/screenshot_s60_3rd_v3.03_unsigned.sis SwissKnife http //all-about-ngage.blogspot.com/2007/05/swissknife-10-os-9.html http //smart60.kiev.ua/uploads/files/1178909797_swissknife_unsigned.zip ActiveLock v1.0 For S60 http //symbian-freeware.web.id/symbian/tools/activelock-v10-for-s60 TYAssistant v1.01 S60v3 Unsigned ちょっとアヤシイ http //symbian-freeware.web.id/symbian/tools/tyassistant-v101-s60v3-unsigned GNUBOX BlueTooth通信で無線LANっぽいことをするアプリ http //gnubox.dnsalias.org/gnubox/ GNUBox for 3rd unsigned http //cyke64.googlepages.com/gnubox_s60v3.sis GNUBox for 3rd unsigned no UID http //series60.sakura.ne.jp/up/src/up0290.zip ボタンをカスタマイズ、ショートカット MagicKey ゲームの時などに押し間違いを予防できて便利らしい 緑ボタン→変更予定ボタン、で設定 vBag SID 0x20010f58 → 0x20010f59 * - 42 # - 127 Number 0 - 48 Number 1 - 49 Number 2 - 50 Number 3 - 51 Number 4 - 52 Number 5 - 53 Number 6 - 54 Number 7 - 55 Number 8 - 56 Number 9 - 57 Left Soft Key - 164 Right Soft key - 165 Green Key - 196 Red Key - 197 Pencil - 18 Backspace(C)-Key - 1 Menu Key - 180 Multimedia Menu Key - 186 "Joystik Left" - 14 "Joystik Right" - 15 "Joystik Up" - 16 "Joystik Down" - 17 [OK]-Key - 167 Gallery Key - 230 Volume Up - 162 Volume Down - 163 Camera key - 226 Power Off Key - 166 Open Slider - 168 Close Slider - 169 Open Multimedia Keys - 172 Close Multimedia Keys - 173 Multimedia Key Pause/Play - 182 Multimedia Key Stop - 183 Multimedia Key Forwards - 184 Multimedia Key Backwards - 185 Camera key(the half pressed button) - 226 Camera key(the fully pressed button) - 227 camera cover open 231 camera cover close 232 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/systools/magic_keys_remap_and_extend_your_keyboard.htm http //dailymobile.se/2008/06/07/symbian-application-magickey-10/ http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/systools/magickey_unsigned_beta.zip NStarter 鉛筆ボタン+*か#か1か2で、アプリを起動するショートカット http //news.mobile9.com/s60apps/2007/07/02/nstarter-by-nomiel/ http //www.box.net/shared/tir7q8y4lc JBAK ThePencil ref(http //www.ixbt.com/mobilesoft/images/S60v3/system/managers/thepencil/ss/ThePencil_S603rd_Rev_360.jpg,height=200,width=150) ペンボタンを他のボタンに設定できるのかな、たぶん EQ ShutDown 電源落とす http //symbian-freeware.web.id/symbian/tools/eq-shutdown-v10-symbianos-91 http //symbian-freeware.web.id/wp-content/uploads/2008/06/13103_eqshutdown_3rdsis.zip Themes Launcher テーマ変更ページへのショートカット、N95用らしい http //www.neuvex.com/products/themeslauncher/ BT Switch BlueToothをON、OFFするショートカット http //www.martin.st/software/#btswitch http //www.martin.st/software/btswitch-1.0-opensigned.sis BTSwitch for fp2 this app is compatible with s60 - fp1 fp2 http //blogen.junnikokuki.com/tag/btswitch/ http //dailymobile.se/2008/09/08/btswitch-for-fp2/ http //www.box.net/shared/fa5jonk86m バーコードリーダー NeoReader 2D code scanning software for S60 3rd Ed バーコードリーダー 他のアプリと異なるところは、棒線が横に並んだタイプのバーコードが読める レジストリを使用とするとNeoREaderKeyをダウンロードしてインストールすることになるが、認証エラーがでて使えなかった。 http //www.neoreader.com/home.html http //www.symbian-freak.com/news/008/03/neoreader_code_scanning_software.htm http //www.symbian-freak.com/downloads/app/3rd_ed/NeoReader.zip UpCode reader system バーコードリーダー http //www.upc.fi/en/upcode/download/ http //www.upcode.fi/files3ed/UpCodeS60v9_415.sisx Game Frozen Bubble for S60 http //fb-s60.sourceforge.net/?opt=2 http //downloads.sourceforge.net/fb-s60/fb_s60_3rdv0.94_240x320.sisx GPfce 怪しいフォーラムなどにあげられているNES emulator v0.3.2 http //my-symbian.com/forum/viewtopic.php?t=36393 postdays=0 postorder=asc start=60 PicoDrive 怪しいフォーラムなどにあげられているMega Drive emulator 誰かがプログラムソースを3rd用にビルドし直したっぽい なぜか、バージョンが離れたv0.5とs60v3_135がある。別の流れなのかな http //www.zpmode.org/picodrive/comp.htm http //phonesymbian.com/2007/01/27/picodrive-for-3rd-edition/ v0.50 http //phonesymbian.com/wp-content/uploads/2007/01/picodrive0_50_3rd.zip v0.51 http //gallery.mobile9.com/f/351799/ http //www.nseriesphone.com/n95/downloads.php?details=21 http //www.nseriesphone.com/n95/downloads.php?file=21 s60v3_135 http //www.4shared.com/file/47323467/31c1a6d8/picodrive_s60v3_135.html http //dc56.4shared.com/download/47323467/31c1a6d8/picodrive_s60v3_135.sis?tsid=20080528-160851-848d50fc EEmame 怪しいフォーラムなどにあげられているArcade game emulator 誰かがプログラムソースを3rd用にビルドし直したっぽい http //www.harmonicode.com/EEMame/roms.shtml v1.12 http //madeye.org/~staffanu/eemame-series60_3rd.SISX fMSX MSX/MSX2/MSX2+ emulator http //fms.komkon.org/fMSX/ http //fms.komkon.org/fMSX/fMSX35-S60e3-bin.sis ScummVM 画面をマウスでクリックするタイプのゲームを遊べるらしい ゲームファイルはZIPを解凍しないと認識しないのかな http //www.scummvm.org/ http //www.scummvm.org/downloads.php Theme、見た目をカスタマイズ OwnSkinのInstallation Guide http //www13.ownskin.com/flash_lite2_install_guide_nks60v3_1.jsp OwnSkin Animator ここでThemeをダウンロードしてインストールするとOwnSkin Animatorが同封されている事があるらしい バックグラウンドで起動させておくと、Themeが動く。 http //www5.ownskin.com/theme?tk=md tv= tt=1 tq=0 tp=1 tc=0 tm=85 ownskin screensaver flashlite N73用のownskinを使うと N73でflashliteのスクリーンセイバーが設定できるらしい http //mosh.nokia.com/content/4EB598D9361D3062E040050A45305265 設定方法 http //www30.ownskin.com/flash_lite2_install_guide_nks60v3.jsp? Theme Scheduler http //www.drjukka.com/ThemeScheduler.html N73 Mac OS X Macと一所に使えば活きるかも http //web.mac.com/key1/iWeb/N73Theme/N73%20Mac%20OS%20X%20Theme.html http //homepage.mac.com/key1/MacOSX_Beta1_6.sis iPhone iPhoneと一所に使えば活きるかも http //3rd-iphone-theme.en.softonic.com/symbian http //s3.amazonaws.com/edownload/free/en/pda/iphone_8fqdsjk6.sis OpLogo Changer utility http //www.symbian-freak.com/news/008/04/op_logo_chnager_for_3rd_phones.htm http //www.symbian-freak.com/downloads/app/3rd_ed/OpLogoChanger_unsigned.zip GDesk 凄い細かい作り込みのデスクトップをカスタマイズする雰囲気を味わえるアプリ http //gdesk.wetpaint.com/page/GDesk+versions http //symbian-freeware.web.id/wp-content/uploads/2008/05/gdesks60minimal030i.zip FontRouter.LT フォントをC ¥Data¥Fontsへ入れることができ、 C ¥Data¥Fonts¥Fontrouter.iniを編集することで設定変更可能 Open Signedしてインストール http //fontrouter.oasisfeng.com/archives/FontRouter.LT.for.v9.Build20071109.opensigned.sis rotateme v1.5 画面を横にする 705nk(Hack)用 http //mosh.nokia.com/content/47EC617E84284F8FE040050A45307625 http //nds2.content.mosh.nokia.com/entity/1/47EC617E84284F8FE040050A45307625/rotateMe_1_50_Beta1_unsigned.zip AnimSpriteLite 2.25 s60v3 動く壁紙?the3sky製? GIFアニメーションを壁紙上で動かす。 設定画面に入れない http //symbian-freeware.web.id/symbian/themes/animspritelite-225-s60v3 無線LAN関係---X02nk CellTrack 無線LANの電波測定かも? http //www.afischer-online.de/sos/celltrack/index.html http //www.afischer-online.de/sos/celltrack/CellTrack91_S60_3_0_v_1_0_5_unsigned.sis Walkinghotspot 無線LANルーターっぽくするのを目指しているらしい、色んな意味で危険なアプリ http //www.walkinghotspot.com/ JoikuSpot Light 無線LANルーターっぽくなる、色んな意味で危険なアプリ x02nkではインストール制限を受けてるかもしれない http //www.joikuspot.com/ http //www.joiku.com/?action=products mode=productDetails product_id=310 動画、ネットラジオ、音楽、ストリーミング動画配信サービス---X02nk x02nkで動画を見ることを前提として… 多くの国内動画サイトはx02nkの標準ブラウザで閲覧しようとしてもPCサイトに飛ばされるらしい。 動画再生だけで情報の処理がイッパイイッパイになりがちなので、 通信と再生を同時に行う"streaming再生"よりも"ダウンロード後に再生"が有利かな、たぶん 拡張子がflvの動画再生には、 x02nkにもともとインストールされているFlash Lite 2では不可。 (他のNokiaの機種などでは、Adobe Flash Lite 3を実装したファームであれば再生可能らしい) 様々なMobile動画サイトは、 ファイル形式はflvでいいのか、3gpでいいのか 動画再生Playerは、 オリジナルのアプリを提供するのか、それぞれのMobile(x02nkならRealPlayerとか)に依存するのか などなど どうやったらより多くの人にエンターテイメントサービスを提供できるのか、悩んでいそう YouTube公式のアプリやサイトに、 "ダウンロード後に再生"や"動画保存"といった機能がないせいで、 他の様々なYouTube再生機能を持ったアプリが生まれた気がする… SkyFire for Symbian 様々な動画配信サイトをパソコンから見ている気にさせてくれるウェブブラウザ 特定の地域、限定されたE-mailアドレス(yahoo.com)にてBetaバージョンが公開される(2008/09/25) いくつかの更新(0.85)の後、携帯電話からアクセスすることでインストール可能(20081209) http //www.symbian-freak.com/news/008/09/skyfire_browser_public_now.htm http //www.skyfire.com/ Ozone Web Browser for S60 3rd Ed http //www.symbian-freak.com/news/009/05/ozone_web_browser_bringing_web_20_to_s60_devices.htm http //www.o3mobi.com/ MobiTubia Youtubeを見る為のアプリ 常に画面が横 動画(flv)をアプリ上で再生。 http //www.mobitubia.com/dp/?q=node/2 emTube Youtubeを見る為のアプリ 動画(flv)をアプリ上で再生。 長期間サイトを閉鎖しており、動画を再生できない(20081016) http //www.schierwagen.de/blog/support/ http //www.emtube.yoyo.pl/ http //www.emtube.yoyo.pl/emTube_S60_3_0_v_1_0_10.zip vtap アプリを使用すれば、アプリ上のプレイヤーでstreaming再生。 MobileサイトだとRealPlayerでstreaming再生。 http //www.vtap.com/ http //www.vtap.com/pub/vtap.sis http //m.vtap.com/ ニコニコ動画クライアント & 動画変換サーバー http //nicosb.orz.hm/ YFHPlayer for X02NK http //blog.zan9.com/article/16824471.html Interbine アプリ側にsearchがない 登録後が必要。 PCサイトにてチャンネルを選択。それがアプリに反映される。 video Podcastテイスト。 動画(3gp)は、ダウンロード後にRealPlayerで再生。 http //www.interbine.com/ibservice/main.action http //www.interbine.com/ibservice/b/utjl spodtronic インターネットラジオアプリ http //www.spodtronic.com/en/specialpage.html?pid=3 Tunin.FM Digital Radio オランダ製のインターネットラジオアプリ http //wap.tunin.fm/player_sis/TunInFM_S60_30_v1_20.sis Griffon2 mp3音楽ファイルのプロパティ情報を編集できるのかな、たぶん http //jbak.ru/griffon2.php POWERmp3 PLAYER http //www.symbian-freak.com/forum/viewtopic.php?t=26381 sid=ccc53041eab078b052dccd571f1855d0 http //www.mobifactor.ru/ Handy Music http //symbiansmartphone.blogspot.com/2008/11/handy-music-for-nokia-s60-3rd-edition.html http //ankara.telesoftas.net/~modcpt/sis/handy_music_selfsigned.sisx Audials Mobile free freeは1日2曲までらしい http //audials.com/en/audials_mobile/ http //mirror.audials.com/mobile/download?affiliate=5a94c67716fdb547227d5b93a8f177dc My Sound http //r4ph43l.fr.tc/ http //www.r4ph43l.fr.tc/d/d_ms.php ストリーミング動画配信サービス kyte mobileでの視聴を考えてるのかな アプリが常駐する 撮影してからLIVE映像に反映されるまでのタイムラグが大きいかも http //www.kyte.com/ mobile http //m.kyte.tv/ http //m.kyte.tv/rawota/generic/s60/kyte_s60.sisx Qik アプリに文字入力の不具合があるらしくログインできない 例えば、M-FEP60などの入力アプリを使って無理やり文字を入力すれば、ログインできる。20091123 http //qik.com/ mobile http //d.qik.com http //qik.com/m/dl/index/1?1 モーションセンサーを利用したツール---X02nk N95 RD Accelerometer Plug-inと対応アプリをインストールすることにより、 x02nk(N95)の隠された能力が使えるようになるらしい N95 RD Accelerometer Plug-in http //research.nokia.com/files/N95_RD_Accelerometer.zip Rotateme v 2.10 beta 2 Open Signedでインストール、傾きで画面の縦横の表示が切り替わる http //mosh.nokia.com/content/4B0436B0C17B48CDE040050A44305045 http //nds2.content.mosh.nokia.com/entity/1/4B0436B0C17B48CDE040050A44305045/rotateMe_2_10_beta2_unsigned.sis FlipSilent 着信音やアラーム音(スヌーズ可)をひっくり返すことにより、止めることができるようになるアプリ V1.06はSymbian Signedらしい http //www.flipsilent.com/tongren/?q=node/29 http //www.flipsilent.com/tongren/?q=disknode/get/11/FlipSilentV1.05-Unsigned.sis download Light Sabre Open Signed可能 V1.5 http //graho.wordpress.com/2008/01/04/light-sabre-v15/ V1.62 http //graho.wordpress.com/2008/04/21/donate/ ShakeMe http //www.bysamir.fr/shakeme/ http //mosh.nokia.com/search?find=ShakeMe x=11 y=9 ShakeLock Open Signedでインストール 振ることによって、ロックしたり、解除したりできる。 http //manu.k81.googlepages.com/pythonappsfors60 http //manu.k81.googlepages.com/ShakeLock1.0.5.zip pyPoziomica 傾きを計測できるのかな http //www.symbian-freak.com/news/007/12/pypoziomica_freeware_level_tool.htm http //www.symbian-freak.com/downloads/app/3rd_ed/pyPoziomica_2_0_final_edition.zip ThemeMagic 振るとThemeが変わる http //www.landscape-pro.net/ http //www.landscape-pro.net/downloads/ThemeMagic_1_0_Beta1_OpenSigned.SIS RotationPy http //symbiansolution.com/?page_id=27 http //www.symbiansolution.com/wp-content/themes/NewYorker_v2/UPLOADS/Rotation_Py.rar UnlockShake http //symbiansolution.com/?page_id=25 http //www.symbiansolution.com/wp-content/themes/NewYorker_v2/UPLOADS/UnlockShake_Beta1.rar MagicLock v3.00 for Series 60 3rd Edition 振ったらキーロック? Mistyも必要らしい http //www.symbian-freak.com/news/008/04/magic_lock_video_demo.htm http //symbian-freeware.web.id/wp-content/uploads/2008/05/magiclock.zip RockNScroll モーションセンサの傾きが上下左右ボタンに対応する。 シェイクすると決定、縦横画面切替機能付き。 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/rock_n_scroll_video_and_hands_on.htm http //nds2.content.mosh.nokia.com/entity/1/489F4AEB42F25906E040050A44303E79/RockNScroll_1_0_1.SIS ShakeMASTER http //manu.k81.googlepages.com/manu-shakemaster ShutUp たぶん、モーションセンサにより振ることで アラームとか着信音とかを止めるのかな http //www.bysamir.fr/shutup/ http //mosh.nokia.com/content/447A50E7F2B53D5AE040050A45301854 http //nds2.content.mosh.nokia.com/entity/1/447A50E7F2B53D5AE040050A45301854/ShutUp.v1.0.For.N95.5500.N82-CHS-unsigned-OPDA.sis NokMote モーションセンサの傾きが上下左右ボタンに対応する ゲームとかで使うと楽しそう http //www.bysamir.fr/nokmote/ http //mosh.nokia.com/content/4C7712A6E34BD743E040050A44300E01 http //nds2.content.mosh.nokia.com/entity/1/4C7712A6E34BD743E040050A44300E01/Nokmote_beta3_SIGNED.sis MovingBall example application 傾きでボールが動く http //research.nokia.com/projects/activity_monitor http //research.nokia.com/files/MovingBall_Example.sisx NokoShop たぶんモーションセンサでポインタを動かして線を書ける http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/the_joy_of_motion_based__painting.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/accelerometer/acceltest_nokoshop.zip pyRybka 金魚と水がモーションセンサで動く、強く振ってはダメ http //www.symbian-freak.com/news/008/01/nokia_n95_magic_py_rybka.htm http //www.symbian-freak.com/downloads/app/3rd_ed/pyRybka.zip Pocket Toshi 絵柄にぶつかると音が出る http //freak.sensor.googlepages.com/ http //freak.sensor.googlepages.com/pocket_toshi_application.sis.zip Inclinometer for N95 モーションセンサで車が傾く http //mosh.nokia.com/content/3E1BD59369462687E040050AEE043609 http //nds2.content.mosh.nokia.com/entity/1/3E1BD59369462687E040050AEE043609/Inclinometer_050_0_N95_SS.sis Accelerometer Invaders モーションセンサで自機が動く、難しすぎてゲームにならない http //www.symbian-freak.com/news/007/11/gaming_with_nokia_n95_using_accelerometer.htm http //www.symbian-freak.com/downloads/app/3rd_ed/accelerinvaders.zip Accelerometer Ball Game モーションセンサで傾けて迷路っぽい所でボールを動かせる、動作テストかな、たぶん アプリインストール、aXYZインストール、同封されているPythonファイル起動、アプリ起動で動かせる。 http //www.symbian-freak.com/news/007/12/ball_game_with_nokia_n95_using_accelerometer.htm http //www.symbian-freak.com/downloads/app/3rd_ed/ball_game_midlet.zip aXYZ http //sourceforge.net/project/showfiles.php?group_id=132176 package_id=252008 Magic Level http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/magic_level_new_freeware_level_tool.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/accelerometer/magic_level.zip pyWuzzler Fun motion controlled table soccer game http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/games/pyWuzzle_fun_motion_controlled_table_soccer_game.htm nGun リロード&ショット accelometer pluginをいったん削除してからmegaPyModulePackをインストールして欲しい とのことらしい http //dailymobile.se/2008/10/19/symbian-application-ngun-v001/ megaPyModulePack 1.5.2 unsigned http //download125.mediafire.com/yhvljoduc1fg/n24zvh6dnv3/megapymodulepack_by_smart4n_v1_5_2_124.zip WaterBox 滑らかに動く http //tmu.ath.cx/waterbox/ http //tmu.ath.cx/waterbox/get.php pyPiwo 模擬飲み物 iPhoneにも似たようなアプリがあるらしい http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/py_piwo.htm HourGlass http //efforts.embeddehttp //gforge.embedded.ufcg.edu.br/frs/download.php/81/Hourglass_v_1.0.0.sisxd.ufcg.edu.br/symbiancpp/?p=9 Nice Shaker http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/nice_shaker_put_a_virtual_snowglobe_on_your_phone.htm nGraffiti http //www.symbian-freak.com/forum/viewtopic.php?t=25838 sid=c33ff334dfb762f073ee78d69bfa0935 npunch http //www.symbian-freak.com/forum/viewtopic.php?t=25388 sid=409388cc2fee9db0fb89e2be101a2507 http //download228.mediafire.com/mweuzrviedgg/dtnznmmiwu3/nPunch+v0.01.sis nslap http //www.symbian-freak.com/forum/viewtopic.php?t=25393 sid=409388cc2fee9db0fb89e2be101a2507 http //download72.mediafire.com/tztns34x9dig/dzmk4yzoqtn/nSlap.sis nsword http //www.symbian-freak.com/forum/viewtopic.php?t=25394 sid=409388cc2fee9db0fb89e2be101a2507 http //download405.mediafire.com/v4ziwlyfmktg/3itnhzjt1nq/nSword.sis nBat http //www.symbian-freak.com/forum/viewtopic.php?t=25356 sid=409388cc2fee9db0fb89e2be101a2507 http //download108.mediafire.com/cfzyjb1rntmg/zuvknzzdzzz/nSaw.sis nShatter http //www.symbian-freak.com/forum/viewtopic.php?t=25200 sid=409388cc2fee9db0fb89e2be101a2507 http //download48.mediafire.com/yyzyrx6znxfg/j4wm3jm22o3/nshatter+v0.3.zip nHammer ハンマー http //www.symbian-freak.com/forum/viewtopic.php?t=25250 sid=409388cc2fee9db0fb89e2be101a2507 http //download93.mediafire.com/3z0jwunz9uig/tohhixqzt2m/nHammer.sis nSaw チェーンソー http //www.symbian-freak.com/forum/viewtopic.php?p=308587 http //download108.mediafire.com/mwtwyyhl0dsg/zuvknzzdzzz/nSaw.sis nGIRLS http //www.symbian-freak.com/forum/viewtopic.php?t=24977 sid=409388cc2fee9db0fb89e2be101a2507 nCoin http //www.symbian-freak.com/forum/viewtopic.php?t=25019 http //www.mediafire.com/?sharekey=2176489d54f6a23fab1eab3e9fa335ca33913c7eab6c0784 nOracle 起動するとYESかNOが出る http //www.symbian-freak.com/forum/viewtopic.php?t=24955 http //rapidshare.com/files/157285030/nOracle_0.1.zip nTosser nOracle mod INDIAバージョンのnOracle http //www.fileupyours.com/view/216103/nOracle%20mod%20by%20malarmannan.zip nWhip 鞭 http //dailymobile.se/2008/10/26/symbian-application-nwhip/ HandToolz http //www.symbian-freak.com/forum/viewtopic.php?t=25455 postdays=0 postorder=asc start=0 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/handtoolz_motion_based_toolbox_for_your_phone.htm ZooZBeat Lite 音楽系アプリLiteはFree 音声を録音できないなどの制限あり full versionは$2.99 http //www.zoozmobile.com/zoozbeatnokia.php xyzMusic http //sites.google.com/site/xyzmusicproject/Home ShakeCube http //www.symbian-freak.com/forum/viewtopic.php?t=26963 sid=90cd08a9faf41b927d3e77a0a1ca075e http //nemex.speeds.co.il/Downloads/ShakeCube/ShakeCubeV11.zip Shuffle http //www.symbian-freak.com/forum/viewtopic.php?t=26634 sid=abf4dea4b21f286dc264feecb071eb8e nAlertMe http //www.aikonlab.com/spip.php?article10 http //www.aikonlab.com/IMG/sis/nAlertMe_beta1_unsigned.sis http //www.aikonlab.com/IMG/sis/nAlertMe_beta3_unsigned.sis http //www.aikonlab.com/IMG/sis/nAlertMe_beta4_Sensor_API_unsigned.sis AlertMe 3.0 http //www.symbian-freak.com/forum/viewtopic.php?t=24670 http //alertme.googlecode.com/files/AlertMe.sis Mazing Days(Based Labyrinth Game) http //jouni.miettunen.googlepages.com/mazingdays http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/games/mazing_days__accelerometer_based_maze_game.htm DontTouch AlertMeのMODなのかな http //www.symbian-freak.com/forum/viewtopic.php?t=24344 http //www.zoellner.tk/donttouch/donttouch_v1_9_0.sis
https://w.atwiki.jp/saibakho/pages/210.html
Nixie Watch nixie_100-medium%3Binit%3A.jpg http //jouni.miettunen.googlepages.com/nixiewatch Beeper beeper02.jpg http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/miscutility/beeper_for_s60.htm Forumで最新版があげられているのかな http //www.symbian60.mobi/forum/neue-symbian-software-und-updates-dazu/beeper-multifunctional-freeware-utility-for-s60-devices/page-1/ Y-Alarms アラーム http //www.drjukka.com/YAlarms.html#APP1 http //www.drjukka.com/files/Y_Alarms_051_0_3rdEd_SS.SIS Large Time Screensaver PowerSaver http //www.martin.st/software/#largetime http //www.martin.st/software/largetime-1.04-opensigned.sis Calcium 計算機 http //mtvoid.com/calcium/index.html http //mtvoid.com/Calcium_3rd_1_21.sis cCalc 言語分けが必要 計算機 http //pachome1.pacific.net.sg/~welic/cCalc.html http //home.pacific.net.sg/~welic/cCalc_S60_3rd_111.sis FreeTimeBox FreeTimeBox_1.jpg http //blogen.junnikokuki.com/tag/freetimebox/ Timebar http //mosh.nokia.com/content/37BEFAB2E80B4BC8E040050AEE0413B1 http //nds2.content.mosh.nokia.com/entity/1/37BEFAB2E80B4BC8E040050AEE0413B1/TimeBar_v1.61_b0715.zip EggClock タイマー。音楽ファイルを設定できる。 http //code.google.com/p/eggclock60/ http //eggclock60.googlecode.com/files/EggClock_1.6_5th_ed.sisx Speaker speaker-full%3Binit%3A.jpg 時刻などをしゃべってくれる。日本語は数字だけかな Open Signed可能 http //manu.k81.googlepages.com/pythonappsfors60 http //manu.k81.googlepages.com/Speaker_v1_0_3.zip HourPower hourpower.jpg 時間をしゃべってくれる。英語設定にすれば http //www.symbianresources.com/projects/hourpower.php http //www.symbianresources.com/projects/hourpower/HourPower.1.04.zip Shake O’Clock 1164866276_2acc6a1f22.jpg http //symbiansolution.com/?page_id=34 FreeTimeSync 時間合わせ http //blogen.junnikokuki.com/tag/freetimesync/ SongTimer post-54788-1220201497.jpg http //dailymobile.se/2008/09/20/symbian-application-songtimer-v10/ DeskCountdown 設定した日まで、あと何日か表示してくれるアプリ http //bbs.aapig.com/bbs/book_view.asp?pageback=1 id=254781 sid= http //www.ipmart-forum.com/showthread.php?t=320641 http //dailymobile.se/2009/01/08/symbian-application-deskcountdown-desktop-timer-a-better-way-to-remind-you/ x02nk用 http //bbs.aapig.com/bbs/upload/2009/01/01/121112858.sis http //aapig.com/bbs/upload/200901211440408.sis 705nk用 http //bbs.aapig.com/bbs/upload/2009/01/01/121112840.sis http //aapig.com/bbs/upload/200901211440315.sis Sleep Music タイマーで設定したアプリを停止するアプリかな http //s60python.blogspot.com/2008/12/sleep-music-v105.html
https://w.atwiki.jp/saibakho/pages/184.html
Rotateme v 2.10 beta 2 Open Signedでインストール、傾きで画面の縦横の表示が切り替わる http //mosh.nokia.com/content/4B0436B0C17B48CDE040050A44305045 http //nds2.content.mosh.nokia.com/entity/1/4B0436B0C17B48CDE040050A44305045/rotateMe_2_10_beta2_unsigned.sis FlipSilent 着信音やアラーム音(スヌーズ可)をひっくり返すことにより、止めることができるようになるアプリ V1.06はSymbian Signedらしい http //www.flipsilent.com/tongren/?q=node/29 http //www.flipsilent.com/tongren/?q=disknode/get/11/FlipSilentV1.05-Unsigned.sis download Light Sabre Open Signed可能 V1.5 http //graho.wordpress.com/2008/01/04/light-sabre-v15/ V1.62 http //graho.wordpress.com/2008/04/21/donate/ ShakeMe http //www.bysamir.fr/shakeme/ http //mosh.nokia.com/search?find=ShakeMe x=11 y=9 ShakeLock Open Signedでインストール 振ることによって、ロックしたり、解除したりできる。 http //manu.k81.googlepages.com/pythonappsfors60 http //manu.k81.googlepages.com/ShakeLock1.0.5.zip pyPoziomica 傾きを計測できるのかな http //www.symbian-freak.com/news/007/12/pypoziomica_freeware_level_tool.htm http //www.symbian-freak.com/downloads/app/3rd_ed/pyPoziomica_2_0_final_edition.zip ThemeMagic 振るとThemeが変わる http //www.landscape-pro.net/ http //www.landscape-pro.net/downloads/ThemeMagic_1_0_Beta1_OpenSigned.SIS RotationPy 1791941234_c7b1237451.jpg http //symbiansolution.com/?page_id=27 http //www.symbiansolution.com/wp-content/themes/NewYorker_v2/UPLOADS/Rotation_Py.rar UnlockShake 1612669862_7dd8f56ff0_m.jpg http //symbiansolution.com/?page_id=25 http //www.symbiansolution.com/wp-content/themes/NewYorker_v2/UPLOADS/UnlockShake_Beta1.rar MagicLock v3.00 for Series 60 3rd Edition 振ったらキーロック? Mistyも必要らしい http //www.symbian-freak.com/news/008/04/magic_lock_video_demo.htm http //symbian-freeware.web.id/wp-content/uploads/2008/05/magiclock.zip RockNScroll モーションセンサの傾きが上下左右ボタンに対応する。 シェイクすると決定、縦横画面切替機能付き。 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/rock_n_scroll_video_and_hands_on.htm http //nds2.content.mosh.nokia.com/entity/1/489F4AEB42F25906E040050A44303E79/RockNScroll_1_0_1.SIS ShakeMASTER mastercopy-full%3Binit%3A.jpg http //manu.k81.googlepages.com/manu-shakemaster ShutUp たぶん、モーションセンサにより振ることで アラームとか着信音とかを止めるのかな http //www.bysamir.fr/shutup/ http //mosh.nokia.com/content/447A50E7F2B53D5AE040050A45301854 http //nds2.content.mosh.nokia.com/entity/1/447A50E7F2B53D5AE040050A45301854/ShutUp.v1.0.For.N95.5500.N82-CHS-unsigned-OPDA.sis NokMote モーションセンサの傾きが上下左右ボタンに対応する ゲームとかで使うと楽しそう http //www.bysamir.fr/nokmote/ http //mosh.nokia.com/content/4C7712A6E34BD743E040050A44300E01 http //nds2.content.mosh.nokia.com/entity/1/4C7712A6E34BD743E040050A44300E01/Nokmote_beta3_SIGNED.sis MovingBall example application 傾きでボールが動く http //research.nokia.com/projects/activity_monitor http //research.nokia.com/files/MovingBall_Example.sisx NokoShop たぶんモーションセンサでポインタを動かして線を書ける http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/the_joy_of_motion_based__painting.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/accelerometer/acceltest_nokoshop.zip pyRybka 金魚と水がモーションセンサで動く、強く振ってはダメ http //www.symbian-freak.com/news/008/01/nokia_n95_magic_py_rybka.htm http //www.symbian-freak.com/downloads/app/3rd_ed/pyRybka.zip Pocket Toshi 絵柄にぶつかると音が出る http //freak.sensor.googlepages.com/ http //freak.sensor.googlepages.com/pocket_toshi_application.sis.zip Inclinometer for N95 モーションセンサで車が傾く http //mosh.nokia.com/content/3E1BD59369462687E040050AEE043609 http //nds2.content.mosh.nokia.com/entity/1/3E1BD59369462687E040050AEE043609/Inclinometer_050_0_N95_SS.sis Accelerometer Invaders モーションセンサで自機が動く、難しすぎてゲームにならない http //www.symbian-freak.com/news/007/11/gaming_with_nokia_n95_using_accelerometer.htm http //www.symbian-freak.com/downloads/app/3rd_ed/accelerinvaders.zip Accelerometer Ball Game モーションセンサで傾けて迷路っぽい所でボールを動かせる、動作テストかな、たぶん アプリインストール、aXYZインストール、同封されているPythonファイル起動、アプリ起動で動かせる。 http //www.symbian-freak.com/news/007/12/ball_game_with_nokia_n95_using_accelerometer.htm http //www.symbian-freak.com/downloads/app/3rd_ed/ball_game_midlet.zip aXYZ http //sourceforge.net/project/showfiles.php?group_id=132176 package_id=252008 Magic Level magiclevel02s.jpg http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/magic_level_new_freeware_level_tool.htm http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/files/accelerometer/magic_level.zip pyWuzzler pywuzzler03.jpg Fun motion controlled table soccer game http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/games/pyWuzzle_fun_motion_controlled_table_soccer_game.htm nGun リロード&ショット accelometer pluginをいったん削除してからmegaPyModulePackをインストールして欲しい とのことらしい http //dailymobile.se/2008/10/19/symbian-application-ngun-v001/ megaPyModulePack 1.5.2 unsigned http //download125.mediafire.com/yhvljoduc1fg/n24zvh6dnv3/megapymodulepack_by_smart4n_v1_5_2_124.zip WaterBox 滑らかに動く http //tmu.ath.cx/waterbox/ http //tmu.ath.cx/waterbox/get.php pyPiwo beer03.jpg 模擬飲み物 iPhoneにも似たようなアプリがあるらしい http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/py_piwo.htm HourGlass 27082008013.jpg http //efforts.embeddehttp //gforge.embedded.ufcg.edu.br/frs/download.php/81/Hourglass_v_1.0.0.sisxd.ufcg.edu.br/symbiancpp/?p=9 Nice Shaker niceshakertm.jpg http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/nice_shaker_put_a_virtual_snowglobe_on_your_phone.htm nGraffiti screenshot0068eu5.png http //www.symbian-freak.com/forum/viewtopic.php?t=25838 sid=c33ff334dfb762f073ee78d69bfa0935 npunch npunchkt8.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25388 sid=409388cc2fee9db0fb89e2be101a2507 http //download228.mediafire.com/mweuzrviedgg/dtnznmmiwu3/nPunch+v0.01.sis nslap screenshot0030wh5.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25393 sid=409388cc2fee9db0fb89e2be101a2507 http //download72.mediafire.com/tztns34x9dig/dzmk4yzoqtn/nSlap.sis nsword screenshot0033yt8.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25394 sid=409388cc2fee9db0fb89e2be101a2507 http //download405.mediafire.com/v4ziwlyfmktg/3itnhzjt1nq/nSword.sis nBat screenshot0027at8.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25356 sid=409388cc2fee9db0fb89e2be101a2507 http //download108.mediafire.com/cfzyjb1rntmg/zuvknzzdzzz/nSaw.sis nShatter screenshot0022nv8.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25200 sid=409388cc2fee9db0fb89e2be101a2507 http //download48.mediafire.com/yyzyrx6znxfg/j4wm3jm22o3/nshatter+v0.3.zip nHammer screenshot0019xd2.jpg ハンマー http //www.symbian-freak.com/forum/viewtopic.php?t=25250 sid=409388cc2fee9db0fb89e2be101a2507 http //download93.mediafire.com/3z0jwunz9uig/tohhixqzt2m/nHammer.sis nSaw screenshot0025qy1.jpg チェーンソー http //www.symbian-freak.com/forum/viewtopic.php?p=308587 http //download108.mediafire.com/mwtwyyhl0dsg/zuvknzzdzzz/nSaw.sis nGIRLS scr8ir4.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=24977 sid=409388cc2fee9db0fb89e2be101a2507 nCoin screenshot0004vb3.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25019 http //www.mediafire.com/?sharekey=2176489d54f6a23fab1eab3e9fa335ca33913c7eab6c0784 nOracle 起動するとYESかNOが出る http //www.symbian-freak.com/forum/viewtopic.php?t=24955 http //rapidshare.com/files/157285030/nOracle_0.1.zip nTosser nOracle mod INDIAバージョンのnOracle http //www.fileupyours.com/view/216103/nOracle%20mod%20by%20malarmannan.zip nWhip 鞭 http //dailymobile.se/2008/10/26/symbian-application-nwhip/ HandToolz screenshot0056in3.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=25455 postdays=0 postorder=asc start=0 http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/accelerometer/handtoolz_motion_based_toolbox_for_your_phone.htm ZooZBeat Lite 音楽系アプリLiteはFree 音声を録音できないなどの制限あり full versionは$2.99 http //www.zoozmobile.com/zoozbeatnokia.php xyzMusic backgroundpk1.png http //sites.google.com/site/xyzmusicproject/Home ShakeCube start.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=26963 sid=90cd08a9faf41b927d3e77a0a1ca075e http //nemex.speeds.co.il/Downloads/ShakeCube/ShakeCubeV11.zip Shuffle aboutkl5.jpg http //www.symbian-freak.com/forum/viewtopic.php?t=26634 sid=abf4dea4b21f286dc264feecb071eb8e nAlertMe nAlertMe02.jpg http //www.aikonlab.com/spip.php?article10 http //www.aikonlab.com/IMG/sis/nAlertMe_beta1_unsigned.sis http //www.aikonlab.com/IMG/sis/nAlertMe_beta3_unsigned.sis http //www.aikonlab.com/IMG/sis/nAlertMe_beta4_Sensor_API_unsigned.sis AlertMe 3.0 http //www.symbian-freak.com/forum/viewtopic.php?t=24670 http //alertme.googlecode.com/files/AlertMe.sis Mazing Days(Based Labyrinth Game) mazing_days_1-medium%3Binit%3A.jpg http //jouni.miettunen.googlepages.com/mazingdays http //www.symbian-freak.com/downloads/freeware/cat_s60_3rd/descriptions/games/mazing_days__accelerometer_based_maze_game.htm DontTouch AlertMeのMODなのかな http //www.symbian-freak.com/forum/viewtopic.php?t=24344 http //www.zoellner.tk/donttouch/donttouch_v1_9_0.sis
https://w.atwiki.jp/minho/pages/133.html
06/07冬期 移籍市場 注:選手名が赤字の表記は注目選手です。管理人が個人的に注目している選手も赤字表記しています。 2006 December 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 2007 January 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 選手名 国籍 移籍日付 移籍形態 From To ー ー ー ー ー ー Julio Caceres パラグアイ 2007-01-01 詳細確認中 ー ー Leandro Grimi 詳細確認中 詳細確認中 詳細確認中 Racing Club(アルゼンチン1部:未収録) AC Milan Chinedu Ogbuke ナイジェリア 詳細確認中 詳細確認中 FC Lyn Oslo Lokomotiv Moscow(ロシア1部:未収録) Oswaldo Sanchez メキシコ 詳細確認中 詳細確認中 Guadalajara Santos Daniele Padelli イタリア 2007-01-09 Loan Sampdoria? Liverpool Alexander Voigt ドイツ 2007-01-09 Signed Roda JC? Carl Zeiss Jena? Leendert Van Steensel オランダ 2007-01-09 Loan Utrecht? Carl Zeiss Jena? Fabao ブラジル 2007-01-09 Signed Sao Paulo Kashima Antlers(J1部:未収録) Sebastian Dominguez アルゼンチン 2007-01-09 Signed Corinthians Estudiantes(アルゼンチン1部:未収録) Jose Maria Calvo アルゼンチン 2007-01-09 Loan Boca Juniors Tarragona? Omar Kaalabane ギニア 2007-01-09 Signed Auxerre V.Manisaspor? Matteo Melara イタリア 2007-01-09 Loan Torino? Ascoli? Maurizio Anastasi イタリア 2007-01-09 Loan Catania? Cesena? Christian Wilhelmsson スウェーデン 2007-01-09 Loan Nantes? Roma Mikkel Thygesen デンマーク 2007-01-09 Signed FC Midtjylland? M gladbach Ricardinho ブラジル 2007-01-09 Signed Palmeiras Botafogo? Danilo ブラジル 2007-01-09 Signed Sao Paulo Kashima Antlers(J1部:未収録) Njazi Kuqi フィンランド 2007-01-09 Signed Free Carl Zeiss Jena? Vaclav Sverkos チェコ 2007-01-09 Loan M gladbach Austria Magna? Weldon ブラジル 2007-01-09 Signed Cruzeiro Sao Caetano Marcelo Delgado アルゼンチン 2007-01-09 Signed Boca Juniors Belgrano(アルゼンチン1部:未収録) Luigi Pieroni ベルギー 2007-01-09 Signed Auxerre Nantes? Mauro Lustrinelli スイス 2007-01-09 Signed Sparta Prague(チェコ1部:未収録) FC Luzern? Michael Mifsud マルタ 2007-01-09 Signed Lillestrom? Coventry City? Phillip Bardsley イングランド 2007-01-08 Loan Manchester Utd Aston Villa Matthew Kilgallon イングランド 2007-01-08 Signed Leeds United? Sheffield Utd? Joachim Standfest オーストリア 2007-01-08 Signed Liebherr GAK? Austria Magna? Diaw Doudou セネガル 2007-01-08 Signed Torino? Cesena? Ramon ブラジル 2007-01-08 Signed Vasco Da Gama? Paranaense Paul Ifill バルバトス 2007-01-08 Signed Sheffield Utd? Crystal Palace? Nigel Quashie スコットランド 2007-01-08 Signed West Brom. West Ham Anthony Stokes アイルランド 2007-01-08 Signed Arsenal Sunderland? Edo ブラジル 2007-01-08 Signed Mainz Suwon Bluesings Roni ブラジル 2007-01-08 Signed Atletico Mineiro(ブラジル2部:未収録) Flamengo Tosin Dosunmu ナイジェリア 2007-01-08 Loan AS Nancy? Sv Zulte? Harald Wapenaar オランダ 2007-01-07 Loan Vitesse Arnhem Sp.Rotterdam Claudecir ブラジル 2007-01-07 Signed Palmeiras Noroeste(ブラジル3部:未収録) Eduardas Kurskis リトアニア 2007-01-06 Loan FBK Kaunas(リトアニア1部:未収録) Hearts Timo Nagy ドイツ 2007-01-06 Loan Hannover 96? W.Burghausen? Luciano Henrique ブラジル 2007-01-06 Signed Santos Sport Recife(ブラジル2部:未収録) Arkadiusz Klimek ポーランド 2007-01-06 Loan FBK Kaunas(リトアニア1部:未収録) Hearts Tomas Danilevicius リトアニア 2007-01-06 Signed Livorno? Bologna? Frank Rost ドイツ 2007-01-05 Signed FC Schalke04 HSV Nicola Pavarini イタリア 2007-01-05 Loan Siena? Lecce? Francesco Benussi イタリア 2007-01-05 Loan Lecce? Siena? Neil Collins スコットランド 2007-01-05 Signed Sunderland? Wolverhampton? David Unsworth イングランド 2007-01-05 Signed Sheffield Utd? Wigan Athletic Andrea Giallombardo イタリア 2007-01-05 Loan Livorno? Messina? Adam Eckersley イングランド 2007-01-05 Loan Manchester Utd Barnsley? Luis Boa Morte ポルトガル 2007-01-05 Signed Fulham? West Ham Ervin Skela アルバニア 2007-01-05 Signed Ascoli? E.Cottbus? Neri Cardozo アルゼンチン 2007-01-05 Signed Boca Juniors Monterrey Savio ブラジル 2007-01-05 Signed Flamengo R.Sociedad? Carmine Coppola イタリア 2007-01-05 Loan Messina? Livorno? Stefano Argilli イタリア 2007-01-05 Signed Livorno? Frosinone? Toumani Diagouraga フランス 2007-01-05 Loan Watford? Rotherham United Steve de Ridder ベルギー 2007-01-05 Loan KAA Gent? KFC Hamme(ベルギー2部:未収録) Sebastien Siani カメルーン 2007-01-05 Loan Anderlecht Sv Zulte? Yves Vanderhaeghe ベルギー 2007-01-05 Signed Anderlecht KSV Roeselare? Elkin Soto コロンビア 2007-01-05 Signed Barcelona SC(エクアドル1部:未収録) Mainz Lilian Laslandes フランス 2007-01-05 Signed Bordeaux Nice? Igor Gluscevic モンテネグロ 2007-01-05 Signed Vitesse Arnhem Heracles Almelo German Herrera アルゼンチン 2007-01-05 Loan San Lorenzo(アルゼンチン1部:未収録) R.Sociedad? Andrea Guatelli イタリア 2007-01-04 Loan Portsmouth FC Zurich? Lucas Mareque アルゼンチン 2007-01-04 Signed River Plate F.C.Porto Paul Butler アイルランド 2007-01-04 Signed Leeds United? Milton Keynes Dons Keith Lowe イングランド 2007-01-04 Loan Wolverhampton? Cheltenham Town Joe O Cearuill アイルランド 2007-01-04 Loan Arsenal Brighton HA Jonny Evans 北アイルランド 2007-01-04 Loan Manchester Utd Sunderland? Walter Vilchez ペルー 2007-01-04 Signed Sporting Cristal(ペルー1部:未収録) Cruz Azul Cesar Navas スペイン 2007-01-04 Loan Malaga CF? Tarragona? Alexander Baumjohann ドイツ 2007-01-04 Signed FC Schalke04 M gladbach Robert Koren スロヴェニア 2007-01-04 Signed Lillestrom? West Brom. Claudio Ferrarese イタリア 2007-01-04 Signed Torino? Hellas Verona? Stefano Garzon イタリア 2007-01-04 Loan Chievo? Avellino(イタリア3部:未収録) David Jones イングランド 2007-01-04 Signed Manchester Utd Derby County? Branko Boskovic セルビア 2007-01-04 Signed Free SK Rapid Wien? Vincenzo Montella イタリア 2007-01-04 Loan Roma Fulham? Sasa Salcedo パラグアイ 2007-01-04 Loan FC Tokyo(J1部:未収録) Chiapas? Michel ブラジル 2007-01-03 Signed Cruzeiro Juventude? Mario Mendez メキシコ 2007-01-03 Signed Tigres Velez Sarsfield(アルゼンチン1部:未収録) Gregory Vignal フランス 2007-01-03 Loan Lens Kaiserslautern? Matej Mavric スロヴェニア 2007-01-03 Loan Molde? TuS Koblenz? Leonardo Ponzio アルゼンチン 2007-01-03 Signed Real Zaragoza? River Plate Fabio Santos ブラジル 2007-01-03 Signed Sao Paulo Cruzeiro Renan ブラジル 2007-01-03 Loan Sao Paulo Cruzeiro Gregory Dufer ベルギー 2007-01-03 Loan Brugge KSC Lokeren? Benjamin de Ceulaer ベルギー 2007-01-03 Signed Feyenoord RKC Waalwijk? Tore Andre Flo ノルウェー 2007-01-03 Signed Valerenga? Leeds United? Lourenco ポルトガル 2007-01-03 Loan Sporting Lisbon Panathinaikos Thomas Rathgeber ドイツ 2007-01-03 Loan Vfl Bochum Unterhaching? Djordje Pantic セルビア 2007-01-02 Signed Partizan(セルビア1部:未収録) TuS Koblenz? Ben Alnwick イングランド 2007-01-02 Signed Sunderland? Tottenham Marton Fulop ハンガリー 2007-01-02 Signed Tottenham Sunderland? Leandro Silva ブラジル 2007-01-02 Signed Cruzeiro Palmeiras Victor Lopez アルゼンチン 2007-01-02 Signed Arsenal Sarandi(アルゼンチン1部:未収録) R.Sociedad? Tsuneyasu Miyamoto 日本 2007-01-02 Signed Gamba Osaka(J1部:未収録) Red Bull Salzburg Alexander Huber ドイツ 2007-01-02 Signed Ein.Frankfurt? Eint.Braunschweig? Mladen Pelaic クロアチア 2007-01-02 Signed Stand.de Lieg Hajduk Split(クロアチア1部:未収録) Ronald Gercaliu オーストリア 2007-01-02 Loan Red Bull Salzburg Austria Magna? Leon Andreasen デンマーク 2007-01-02 Loan W.Bremen Mainz Christoph Babatz ドイツ 2007-01-02 Signed Mainz TuS Koblenz? Daniyel Cimen ドイツ 2007-01-02 Loan Ein.Frankfurt? Eint.Braunschweig? Stanley Aborah ベルギー 2007-01-02 Signed Ajax FVC Dender(ベルギー2部:未収録) Marcinho ブラジル 2007-01-02 Signed Palmeiras Cruzeiro Martinez ブラジル 2007-01-02 Signed Cruzeiro Palmeiras Adel Taarabt フランス 2007-01-02 Loan Lens Tottenham Liam Lawrence アイルランド 2007-01-02 Signed Sunderland? Stoke City? Carlos Edwards トリニダート・トバコ 2007-01-02 Signed Luton Town? Sunderland? Vincenzo Italiano イタリア 2007-01-02 Signed Hellas Verona? Chievo? Benjamin Auer ドイツ 2007-01-02 Loan Vfl Bochum Kaiserslautern? Romulo ブラジル 2007-01-02 Signed Gremio? Cruzeiro Stephan Andersen デンマーク 2007-01-01 Signed Charlton Brondby IF? Joe Hart イングランド 2007-01-01 Loan Manchester City Tranmere Rovers Marcelo ブラジル 2007-01-01 Signed Fluminense Real Madrid B? Giuseppe Figliomeni イタリア 2007-01-01 Signed Crotone? Inter Felipe Soares ブラジル 2007-01-01 Signed Internacional Stand.de Lieg Marcos Camozzato ブラジル 2007-01-01 Signed Internacional Stand.de Lieg Armando Sa モザンビーク 2007-01-01 Signed Espanyol? Leeds United? Andre Oliveira ブラジル 2007-01-01 Signed Iraty SC(ブラジル:未収録) FC Cologne? Julian Esteban スイス 2007-01-01 Signed Servette(スイス2部:未収録) Rennes Julio dos Santos パラグアイ 2007-01-01 Loan Bayern München Wolfsburg Marcelo Gallardo アルゼンチン 2007-01-01 Signed River Plate PSG Gonzalo Higuain アルゼンチン 2007-01-01 Signed River Plate R.Madrid Lars Jacobsen デンマーク 2007-01-01 Signed FC Kobenhavn FC Nürnberg Francesco Tavano イタリア 2007-01-01 Loan Valencia Roma Maris Verpakovskis ラトビア 2007-01-01 Loan Dynamo Kiev(ウクライナ1部:未収録) Getafe Jonathan スペイン 2007-01-01 Loan Espanyol? Ejido? Henrik Larsson スウェーデン 2007-01-01 Loan:3ヶ月のみ Helsingborgs Manchester Utd Moses Ashikodi イングランド 2007-01-01 Loan Rangers Watford? Ade Akinbiyi ナイジェリア 2007-01-01 Signed Sheffield Utd? Burnley? Alberto Rodriguez ペルー 2006-12-29 Signed Sporting Cristal(ペルー1部:未収録) Sporting Braga Zheng Zhi 中国 2006-12-29 Loan Shandong Luneng(中国1部:未収録) Charlton Steven Pressley スコットランド 2006-12-29 Signed Hearts Celtic Stefano Fanucci イタリア 2006-12-29 Signed Livorno? Frosinone? Kristofer Haestad ノルウェー 2006-12-29 Loan IK Start? Wigan Athletic Denis Godeas イタリア 2006-12-29 Loan Chievo? Mantova? Hakim Bouchouari ベルギー 2006-12-29 Signed Stand.de Lieg FC Brussels? Jared Borgetti メキシコ 2006-12-29 Signed Al-Ittihad(サウジアラビア1部:未収録) Cruz Azul Ezequias ブラジル 2006-12-28 Loan F.C.Porto SC Beira-Mar? Steven Gohouri アイルランド 2006-12-28 Signed BSC Young Boys M gladbach Andreas Granqvist スウェーデン 2006-12-28 Loan Helsingborgs Wigan Athletic Matias Fernandez チリ 2006-12-28 Signed Colo Colo(チリ1部:未収録) Villarreal Diogo Valente ポルトガル 2006-12-28 Loan F.C.Porto Maritimo? Tobias Damm ドイツ 2006-12-28 Loan Mainz Wuppertaler SV(ドイツ3部:未収録) Aleksandr Kerzhakov ロシア 2006-12-27 Signed Zenit Sankt Petersburg(ロシア1部:未収録) Sevilla Olivier Sorin フランス 2006-12-23 Signed AS Nancy? Auxerre Andrey Nazário Afonso ブラジル 2006-12-23 Signed Figueirense Steaua Bucuresti(ルーマニア1部:未収録) Felipe ブラジル 2006-12-23 Signed Guarani(ブラジル2部:未収録) Anderlecht Abdeslam Ouaddou モロッコ 2006-12-22 Signed Olympiakos? Valenciennes FC? Alexandre Licata フランス 2006-12-22 Loan AS Monaco Bastia? Emerson Carvalho ブラジル 2006-12-21 Signed Parana? Ponte Preta? Emil Noll ドイツ 2006-12-21 Signed A.Aachen TuS Koblenz? Fernando Gago アルゼンチン 2006-12-21 Signed Boca Juniors R.Madrid Thiago Neves ブラジル 2006-12-21 Signed Parana? Fluminense Athanasios Kostoulas ギリシャ 2006-12-20 Signed Olympiakos? Xanthi(ギリシャ1部:未収録) Silvio Meissner ブラジル 2006-12-20 Loan Stuttgart Kaiserslautern? Joel Epalle カメルーン 2006-12-20 Signed Iraklis(ギリシャ1部:未収録) Vfl Bochum Gustavo ブラジル 2006-12-19 Signed Parana? FC Schalke04 Simon Feindouno ギニア 2006-12-19 Signed Lens Istres? Claiton ブラジル 2006-12-19 Signed Botafogo? Flamengo Alex Dias ブラジル 2006-12-19 Signed Sao Paulo Fluminense Leonardo ブラジル 2006-12-19 Signed NAC Breda? Ajax Juninho Paulista ブラジル 2006-12-18 Signed Palmeiras Flamengo Christian ブラジル 2006-12-18 Signed Juventude? Corinthians Fabien Barthez フランス 2006-12-17 Signed 元Marseille Nantes? Ante Covic オーストラリア 2006-12-15 Signed Hammarby IF? Newcastle Jets(オーストラリア1部:未収録) Javier Pinola アルゼンチン 2006-12-15 Signed Atletico FC Nürnberg Freddy Adu アメリカ 2006-12-11 Signed D.C United Real Salt Lake? Marius Niculae ルーマニア 2006-12-11 Signed Stand.de Lieg Mainz Josh Wolff アメリカ 2006-12-06 Signed Kansas City 1860 Munich? ー ー ー ー ー ー
https://w.atwiki.jp/v-lyrics/pages/299.html
SignalP (Dios) has his own channel as "twinkledisc" in YouTube. But he uploaded only two video there. He became a popular author from his first work "Rin Rin Signal". His producer name comes from his work of this beginning. But he is a professional musician "Hiroaki Arai". This fact was announced later by him. -- (ymiyass900) 2009-06-23 12 44 40
https://w.atwiki.jp/warlockmota/pages/6.html
Warlock Master of the Arcane(简称“Warlock”、“秘术宗师”)是一款PC平台魔幻题材回合制策略游戏(TBS),由Ino-Co Plus制作,由Paradox Interactive于2012年5月8日发行,IGN评分7.5/10。 玩家可通过GamersGate或STEAM购买本作正版。本作以Steamworks作为DRM,没有STEAM则无法运行本作,不过发行后不久就出现了本作的汉化破解版,可不经联网免费游戏(注意:此为侵权行为)。 Warlock在过去的奇幻文学里一般译为“妖术师”、“邪术师”。魔兽争霸·魔兽世界将其作为术士的职业名,极大地影响了大众的认识。 缘起 初代游戏内容(发布时)基本目的 表现风格 地图格 游戏设置 地下世界 魔法 初代游戏内容(补丁与DLC)多人游戏 领主与神器 第四种族 古代法术和地形法术 末日模式 二代 缘起 本作的灵感之源是Ino-Co自家的Elven Legacy和Simtex Back于1995年开发的《Master of Magic》,并与席德梅尔的文明系列和Ino-Co自家的《王权2》有相似之处。具体说:本作与Elven Legacy系出同门,且本作使用的引擎是Ino-Co Plus制作《Elven Legacy》时使用的引擎的较新版本,使得二者在表面上很相似;本作把施法与内政管理相结合的系统则近于《Master of Magic》;本作的图像风格与《文明5》很像,但其相似之处仅此而已;本作与《王权》系列的背景都是阿达尼亚世界,有许多同名的单位和相同的单位等级,本作内置的教程也是由王权系列的标志性角色为玩家讲述的,但本作登场的单位与王权系列中同名单位的能力往往是不同的。 本作被中国大陆游戏测评者评为“文明类游戏”或“魔幻版文明”,这是不妥当的。 初代游戏内容(发布时) 基本目的 所谓策略游戏,就是管理与对抗。 本作是标准的回合制策略游戏,玩家扮演一位大法师,是阿达尼亚世界最强大的法师之一,其目的是支配整个世界,也包括支配其他的大法师。玩家在每个自己的回合管理城市、收集资源、召集军队、研究并使用魔法,与野外生物、其他大法师、神明等互动(包括战争),最终达成预先设置的胜利条件来赢得游戏(本作发布时,最基本的胜利条件是打败其他所有大法师)。 表现风格 本作的背景是阿达尼亚世界,这是一个剑与魔法的魔幻世界。玩家麾下可以有中世纪风格的弓手和骑士,也可以有精灵、半兽人、野兽、元素生物或亡灵。三个基础种族(人类、怪物、亡灵)风格截然不同(最基本地,人类的建筑属性和兵种消耗都重视金钱,怪物则重视食物,亡灵则重视魔力)。 地图格 和许多经典策略游戏一样,本作地图采用六角格。每个格子各有地形属性,会影响其通行性、建筑利用性和伤害修正。一些格子上有着资源点,可供建设特殊的建筑物。 游戏设置 在开始游戏前,玩家可以选择难度、模式、胜利条件、世界尺寸、陆地比例、横向地图边界、地下世界数量、其他大法师数量等,并要选择自己的大法师。玩家可以选择预设的大法师,也可以自行选定大法师的名字、头像、所率种族与特殊能力,某些能力将对接下来的游戏产生深远的影响。 地下世界 通过地图上的传送门,玩家的军队可以进入其他的位面(地下世界),地下世界通常有着与阿达尼亚截然不同的地形、包括龙在内的大量野生怪物和稀有的资源点。 魔法 本作的法术种类繁多、效果各异,从伤害敌人、控制敌军行动、召唤单位、给予加持到加快施法速度、苏生死者、打断对方施法、获得一个额外的回合,直至直接取得胜利。特定的法术还能发动强力的法术连击。 初代游戏内容(补丁与DLC) 本作最初发售时没有多人游戏功能、没有领主、没有神器。在一年多的时间里,这些都被补丁和DLC弥补。 此处不详细记述平衡性变更、BUG修复和细节优化。 Powerful Lords DLC 2012年5月9日发布,免费授予预订游戏的玩家,其他玩家想得到则要花费1.99美元(欧版1.99欧元)来取得激活码。 内容:追加了两项开始游戏前可以选择的大法师能力。 Power of the Serpent DLC 2012年5月29日发布,售价2.99美元(欧版2.99欧元)。 内容:追加了一位蜥蜴大法师、两项蜥蜴相关的大法师能力、资源点“蜥蜴村庄”及其相应建筑、四种蜥蜴单位。 补丁1.2 2012年7月16日发布。进行了一些平衡性变更、BUG修复和细节优化,并加入以下内容: 多人游戏 加入了基于Steam的多人游戏功能。 汉化破解版无法使用多人游戏功能。 本作没有传统策略游戏标配的热座模式,是个缺憾。 领主与神器 加入了领主系统与神器系统。领主是英雄单位,比同类型的一般单位更强力、升级更快且能拥有一些强大的技能。领主可以佩戴神器来强化其能力,不过领主死亡时其佩戴的神器也会丢失。玩家可以通过完成任务、探索地图上的怪物巢穴等方式获取领主与神器,此外也会随机出现前来等待玩家付钱雇用的领主或向玩家出售神器的商人。 补丁1.2.1 2012年7月20日发布。进行了一些细节优化,并加入以下内容: 多人模式AI接管 当一位玩家掉线,他的阵营会被AI接管。 补丁1.2.2 2012年8月2日发布。进行了一些平衡性变更、BUG修复和细节优化。 Master of Artifacts DLC 2012年8月2日发布。售价1.99美元(欧版1.99欧元)。 内容:追加了能创造神器的法术。 Return of the Elves DLC 2012年8月2日发布。售价2.99美元(欧版2.99欧元)。 内容:追加了新种族阿瑞斯精灵、一位阿瑞斯精灵大法师、两项大法师能力、 第四种族 第四种族阿瑞斯精灵是一群富有个性的黑暗精灵。 补丁1.3 2012年9月26日发布。进行了一些BUG修复和细节优化,强化了部分地形的影响效果,并加入以下内容: 法术交换 玩家可以通过外交界面中的法术选项把自己掌握的法术教给其他大法师,也能这样习得其他大法师掌握的法术。 补丁1.3.1 2012年10月11日发布。进行了一些BUG修复和细节优化。 补丁1.4 2012年11月13日发布。进行了一些平衡性变更、BUG修复和细节优化,并加入以下内容: 地下世界新增超级野外生物,它们在有玩家单位进入地下世界后才会出现,出现后会寻找传送门以便侵入阿达尼亚、对玩家展开攻击。 古代法术和地形法术 新增古代法术和地形法术。地形法术能改变地形,使沧海变桑田,还能产生地图上所没有的地形。古代法术无法通过研究获得,只能拾取。 Armageddon DLC 2012年11月16日发布。售价2.99美元(欧版2.99欧元)。 内容:追加了末日模式、两位新的大法师、四项新的大法师能力。 末日模式 在这个模式下,整个阿达尼亚世界受到德雷默的侵袭,打败其他所有大法师也无法赢得胜利,玩家必须对抗德雷默。此外,虽然玩家不能选择德雷默种族,在末日模式下通过特定的方法还是能让德雷默单位永久效忠,参见小技巧。 在末日模式下,玩家要进入德雷默世界作战,此时德雷默世界也被表现为一个地下世界,不过并没有通往它的传送门,必须使用特殊的法术才能进入。 补丁1.4.1 2012年12月24日发布。进行了一些平衡性变更、BUG修复和细节优化。 二代 Warlock 2 The Exiled(简称“放逐”)是初代续作,仍由Ino-Co Plus制作、Paradox Interactive发行,于2014年4月10日上市。其剧情紧接初代末日模式结局:米拉巴斯打败了德雷玛格,但趁他在德雷默世界奋战,大馆长施放了归一术而成为超越神的归一者,将许多其他大法师击杀或流放。米拉巴斯组织起一支由位面行者组成的军队,开始返回阿达尼亚的漫长旅程。 在放逐的基本游戏模式“放逐模式”下,玩家要穿过多个地下世界、完成一系列的任务、返回阿达尼亚并击败归一者。 放逐也提供了沙盒模式、MOD编辑器等,自由度比初代更高。魔法研究、城市管理等多方面亦有改进,且加入了城市数限制和人民满意度等系统。